zorua: a holistic approach to resource virtualization in gpus · gpu application from the actual...

14
Zorua: A Holistic Approach to Resource Virtualization in GPUs Nandita Vijaykumar Kevin Hsieh Gennady Pekhimenko ‡† Samira Khan Ashish Shrestha Saugata Ghose Adwait Jog u Phillip B. Gibbons Onur Mutlu §† Carnegie Mellon University Microsoft Research University of Virginia u College of William and Mary § ETH Zürich Abstract This paper introduces a new resource virtualization framework, Zorua, that decouples the programmer-specified resource usage of a GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing each resource transparently to the programmer. The virtualization provided by Zorua builds on two key concepts—dynamic allocation of the on-chip resources and their oversubscription using a swap space in memory. Zorua provides a holistic GPU resource virtualization strategy, de- signed to (i) adaptively control the extent of oversubscription, and (ii) coordinate the dynamic management of multiple on-chip resources (i.e., registers, scratchpad memory, and thread slots), to maximize the effectiveness of virtualization. Zorua employs a hardware-software code- sign, comprising the compiler, a runtime system and hardware-based virtualization support. The runtime system leverages information from the compiler regarding resource requirements of each program phase to (i) dynamically allocate/deallocate the different resources in the physi- cally available on-chip resources or their swap space, and (ii) manage the tradeoff between higher thread-level parallelism due to virtualization versus the latency and capacity overheads of swap space usage. We demonstrate that by providing the illusion of more resources than physically available via controlled and coordinated virtualization, Zorua offers several important benefits:(i) Programming Ease. Zorua eases the burden on the programmer to provide code that is tuned to efficiently utilize the physically available on-chip resources. (ii) Portability. Zorua alleviates the necessity of re-tuning an application’s resource usage when porting the application across GPU generations. (iii) Performance. By dynamically allocating resources and carefully oversubscribing them when necessary, Zorua improves or retains the performance of applica- tions that are already highly tuned to best utilize the hardware resources. The holistic virtualization provided by Zorua can also enable other uses, including fine-grained resource sharing among multiple kernels and low-latency preemption of GPU programs. 1. Introduction Modern Graphics Processing Units (GPUs) have evolved into powerful programmable machines over the last decade, offering high performance and energy efficiency for many classes of applications by concurrently executing thousands of threads. In order to execute, each thread requires several major on-chip resources: (i) registers, (ii) scratchpad memory (if used in the program), and (iii) a thread slot in the thread scheduler that keeps all the bookkeeping information required for execution. Today, these resources are statically allocated to threads in the application based on several parameters—the number of threads per thread block, register usage per thread, and scratchpad usage per block. We refer to these static applica- tion parameters as the resource specification of the application. This static allocation over a fixed set of hardware resources creates a tight coupling between the application resource spec- ification and the physical hardware resources. As a result of this tight coupling, for each application, there are only a few optimized resource specifications that maximize resource utilization. Picking a suboptimal specification leads to under- utilization of resources and hence, very often, performance degradation. This leads to three key difficulties related to obtaining good performance on modern GPUs. Programming Ease. First, the burden falls upon the pro- grammer to optimize the resource specification. For a naive programmer, this is a challenging task [14, 47, 59, 60, 61, 65] because, in addition to selecting a specification suited to an algorithm, the programmer needs to be aware of the details of the GPU architecture to fit the specification to the underlying hardware resources. This tuning is easy to get wrong because there are many highly suboptimal performance points in the specification space, and even a minor deviation from an opti- mized specification can lead to a drastic drop in performance due to lost parallelism. We refer to such drops as performance cliffs. We analyze the effect of suboptimal specifications on real systems for 20 workloads (Section 2.1), and experimen- tally demonstrate that changing resource specifications can produce as much as a 5× difference in performance due to the change in parallelism. Even a minimal change in one re- source can result in a significant performance cliff, degrading performance by as much as 50% (Section 2.1). Portability. Second, different GPUs have varying quantities of each of the resources. Hence, an optimized specification on one GPU may be highly suboptimal on another. In order to determine the extent of this portability problem, we run 20 ap- plications on three generations of NVIDIA GPUs: Fermi, Kepler, and Maxwell (Section 2.2). An example result demonstrates that highly-tuned code for Maxwell or Kepler loses as much as 69% of its performance on Fermi. This lack of portability necessitates that the programmer re-tune the resource specifi- cation of the application for every new GPU generation. This problem is especially significant in virtualized environments, such as clouds or clusters, where the same program may run on a wide range of GPU architectures. Performance. Third, for the programmer who chooses to employ software optimization tools (e.g., auto-tuners) or man- ually tailor the program to fit the hardware, performance is still constrained by the fixed, static resource specification. It is well known [19, 21, 30, 84] that the on-chip resource require- ments of a GPU application vary throughout execution. Since the program (even after auto-tuning) has to statically specify its worst-case resource requirements, severe dynamic under- utilization of several GPU resources [19, 21, 30, 37] ensues, leading to suboptimal performance (Section 2.3). Our Goal. To address these three challenges at the same time, we propose to decouple an application’s resource specifi- cation from the available hardware resources by virtualizing all three major resources in a holistic manner. This virtualization provides the illusion of more resources to the GPU programmer and software than physically available, and enables the run- time system and the hardware to dynamically manage multiple 978-1-5090-3508-3/16/$31.00 ©2016 IEEE

Upload: others

Post on 21-Jul-2020

8 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

Zorua: A Holistic Approach to Resource Virtualization in GPUs

Nandita Vijaykumar† Kevin Hsieh† Gennady Pekhimenko‡† Samira Khanℵ

Ashish Shrestha† Saugata Ghose† Adwait Jogu Phillip B. Gibbons† Onur Mutlu§†

†Carnegie Mellon University ‡Microsoft Research ℵUniversity of VirginiauCollege of William and Mary §ETH Zürich

Abstract

This paper introduces a new resource virtualization framework,Zorua, that decouples the programmer-specified resource usage of aGPU application from the actual allocation in the on-chip hardwareresources. Zorua enables this decoupling by virtualizing each resourcetransparently to the programmer. The virtualization provided by Zoruabuilds on two key concepts—dynamic allocation of the on-chip resourcesand their oversubscription using a swap space in memory.

Zorua provides a holistic GPU resource virtualization strategy, de-signed to (i) adaptively control the extent of oversubscription, and(ii) coordinate the dynamic management of multiple on-chip resources(i.e., registers, scratchpad memory, and thread slots), to maximize theeffectiveness of virtualization. Zorua employs a hardware-software code-sign, comprising the compiler, a runtime system and hardware-basedvirtualization support. The runtime system leverages information fromthe compiler regarding resource requirements of each program phase to(i) dynamically allocate/deallocate the different resources in the physi-cally available on-chip resources or their swap space, and (ii) managethe tradeoff between higher thread-level parallelism due to virtualizationversus the latency and capacity overheads of swap space usage.

We demonstrate that by providing the illusion of more resources thanphysically available via controlled and coordinated virtualization, Zoruaoffers several important benefits:(i) Programming Ease. Zorua easesthe burden on the programmer to provide code that is tuned to efficientlyutilize the physically available on-chip resources. (ii) Portability. Zoruaalleviates the necessity of re-tuning an application’s resource usage whenporting the application across GPU generations. (iii) Performance. Bydynamically allocating resources and carefully oversubscribing themwhen necessary, Zorua improves or retains the performance of applica-tions that are already highly tuned to best utilize the hardware resources.The holistic virtualization provided by Zorua can also enable other uses,including fine-grained resource sharing among multiple kernels andlow-latency preemption of GPU programs.

1. IntroductionModern Graphics Processing Units (GPUs) have evolved

into powerful programmable machines over the last decade,offering high performance and energy efficiency for manyclasses of applications by concurrently executing thousandsof threads. In order to execute, each thread requires severalmajor on-chip resources: (i) registers, (ii) scratchpad memory(if used in the program), and (iii) a thread slot in the threadscheduler that keeps all the bookkeeping information requiredfor execution.

Today, these resources are statically allocated to threadsin the application based on several parameters—the numberof threads per thread block, register usage per thread, andscratchpad usage per block. We refer to these static applica-tion parameters as the resource specification of the application.This static allocation over a fixed set of hardware resourcescreates a tight coupling between the application resource spec-ification and the physical hardware resources. As a resultof this tight coupling, for each application, there are only afew optimized resource specifications that maximize resource

utilization. Picking a suboptimal specification leads to under-utilization of resources and hence, very often, performancedegradation. This leads to three key difficulties related toobtaining good performance on modern GPUs.

Programming Ease. First, the burden falls upon the pro-grammer to optimize the resource specification. For a naiveprogrammer, this is a challenging task [14, 47, 59, 60, 61, 65]because, in addition to selecting a specification suited to analgorithm, the programmer needs to be aware of the details ofthe GPU architecture to fit the specification to the underlyinghardware resources. This tuning is easy to get wrong becausethere are many highly suboptimal performance points in thespecification space, and even a minor deviation from an opti-mized specification can lead to a drastic drop in performancedue to lost parallelism. We refer to such drops as performancecliffs. We analyze the effect of suboptimal specifications onreal systems for 20 workloads (Section 2.1), and experimen-tally demonstrate that changing resource specifications canproduce as much as a 5× difference in performance due tothe change in parallelism. Even a minimal change in one re-source can result in a significant performance cliff, degradingperformance by as much as 50% (Section 2.1).

Portability. Second, different GPUs have varying quantitiesof each of the resources. Hence, an optimized specification onone GPU may be highly suboptimal on another. In order todetermine the extent of this portability problem, we run 20 ap-plications on three generations of NVIDIA GPUs: Fermi, Kepler,and Maxwell (Section 2.2). An example result demonstratesthat highly-tuned code for Maxwell or Kepler loses as muchas 69% of its performance on Fermi. This lack of portabilitynecessitates that the programmer re-tune the resource specifi-cation of the application for every new GPU generation. Thisproblem is especially significant in virtualized environments,such as clouds or clusters, where the same program may runon a wide range of GPU architectures.

Performance. Third, for the programmer who chooses toemploy software optimization tools (e.g., auto-tuners) or man-ually tailor the program to fit the hardware, performance isstill constrained by the fixed, static resource specification. It iswell known [19, 21, 30, 84] that the on-chip resource require-ments of a GPU application vary throughout execution. Sincethe program (even after auto-tuning) has to statically specifyits worst-case resource requirements, severe dynamic under-utilization of several GPU resources [19, 21, 30, 37] ensues,leading to suboptimal performance (Section 2.3).

Our Goal. To address these three challenges at the sametime, we propose to decouple an application’s resource specifi-cation from the available hardware resources by virtualizing allthree major resources in a holistic manner. This virtualizationprovides the illusion of more resources to the GPU programmerand software than physically available, and enables the run-time system and the hardware to dynamicallymanage multiple

978-1-5090-3508-3/16/$31.00 ©2016 IEEE

Page 2: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

resources in a manner that is transparent to the programmer,thereby alleviating dynamic underutilization.

Virtualization is a concept that has been applied to the man-agement of hardware resources in many contexts (e.g., [2, 6,13, 15, 25, 29, 54, 73]), providing various benefits. We be-lieve that applying the general principle of virtualization to themanagement of multiple on-chip resources in GPUs offers theopportunity to alleviate several important challenges in mod-ern GPU programming, which are described above. However,effectively adding a new level of indirection to the manage-ment of multiple latency-critical GPU resources introducesseveral new challenges (see Section 3.1). This necessitatesthe design of a new mechanism to effectively address the newchallenges and enable the benefits of virtualization. In thiswork, we introduce a new framework, Zorua,1 to decouplethe programmer-specified resource specification of an applica-tion from its physical on-chip resource allocation by effectivelyvirtualizing multiple on-chip resources in GPUs.

Key Concepts. The virtualization strategy used by Zorua isbuilt upon two key concepts. First, to mitigate performancecliffs when we do not have enough physical resources, weoversubscribe resources by a small amount at runtime, by lever-aging their dynamic underutilization and maintaining a swapspace (in main memory) for the extra resources required. Sec-ond, Zorua improves utilization by determining the runtimeresource requirements of an application. It then allocates anddeallocates resources dynamically, managing them (i) indepen-dently of each other to maximize their utilization; and (ii) ina coordinated manner, to enable efficient execution of eachthread with all its required resources available.

Challenges in Virtualization. Unfortunately, oversubscrip-tion means that latency-critical resources, such as registersand scratchpad, may be swapped to memory at the time ofaccess, resulting in high overheads in performance and energy.This leads to two critical challenges in designing a frameworkto enable virtualization. The first challenge is to effectivelydetermine the extent of virtualization, i.e., by how much eachresource appears to be larger than its physical amount, suchthat we can minimize oversubscription while still reaping itsbenefits. This is difficult as the resource requirements continu-ally vary during runtime. The second challenge is to minimizeaccesses to the swap space. This requires coordination in thevirtualized management of multiple resources, so that enoughof each resource is available on-chip when needed.

Zorua. In order to address these challenges, Zorua employsa hardware-software codesign that comprises three compo-nents: (i) the compiler annotates the program to specify theresource needs of each phase of the application; (ii) a runtimesystem, which we refer to as the coordinator, uses the compilerannotations to dynamically manage the virtualization of thedifferent on-chip resources; and (iii) the hardware employsmapping tables to locate a virtual resource in the physicallyavailable resources or in the swap space in main memory. Thecoordinator plays the key role of scheduling threads only whenthe expected gain in thread-level parallelism outweighs thecost of transferring oversubscribed resources from the swapspace in memory, and coordinates the oversubscription andallocation of multiple on-chip resources.

1Named after a Pokémon [51] with the power of illusion, able to take dif-ferent shapes to adapt to different circumstances (not unlike our proposedframework).

Key Results. We evaluate Zorua with many resource speci-fications for eight applications across three GPU architectures(Section 6). Our experimental results show that Zorua (i) re-duces the range in performance for different resource specifi-cations by 50% on average (up to 69%), by alleviating perfor-mance cliffs, and hence eases the burden on the programmerto provide optimized resource specifications, (ii) improvesperformance for code with optimized specification by 13%on average (up to 28%), and (iii) enhances portability byreducing the maximum porting performance loss by 55% onaverage (up to 73%) for three different GPU architectures.We conclude that decoupling the resource specification andresource management via virtualization significantly easesprogrammer burden, by alleviating the need to provide op-timized specifications and enhancing portability, while stillimproving or retaining performance for programs that alreadyhave optimized specifications.

Other Uses. We believe that Zorua offers the opportunityto address several other key challenges in GPUs today: (i) Byproviding an new level of indirection, Zorua provides a naturalway to enable dynamic and fine-grained control over resourcepartitioning among multiple GPU kernels and applications. (ii)Zorua can be utilized for low-latency preemption of GPU ap-plications, by leveraging the ability to swap in/out resourcesfrom/to memory in a transparent manner (Section 7).

The main contributions of this work are:

• This is the first work that takes a holistic approach to de-coupling a GPU application’s resource specification fromits physical on-chip resource allocation via the use of vir-tualization. We develop a comprehensive virtualizationframework that provides controlled and coordinated virtu-alization of multiple on-chip GPU resources to maximizethe efficacy of virtualization.

• We show how to enable efficient oversubscription of mul-tiple GPU resources with dynamic fine-grained allocationof resources and swapping mechanisms into/out of mainmemory. We provide a hardware-software cooperativeframework that (i) controls the extent of oversubscriptionto make an effective tradeoff between higher thread-levelparallelism due to virtualization versus the latency andcapacity overheads of swap space usage, and (ii) coor-dinates the virtualization for multiple on-chip resources,transparently to the programmer.

• We demonstrate that by providing the illusion of havingmore resources than physically available, Zorua (i) reducesprogrammer burden, providing competitive performancefor even suboptimal resource specifications, by reducingperformance variation across different specifications andby alleviating performance cliffs; (ii) reduces performanceloss when the program with its resource specification tunedfor one GPU platform is ported to a different platform; and(iii) retains or enhances performance for highly-tuned codeby improving resource utilization, via dynamic manage-ment of resources.

2. MotivationIn this section, we study the performance implications of

different choices of resource specifications for GPU applicationsto demonstrate the key issues we aim to alleviate.

2

Page 3: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

2.1. Performance Variation and CliffsTo understand the impact of resource specifications and the

resulting utilization of physical resources on GPU performance,we conduct an experiment on a Maxwell GPU system (GTX745) with 20 GPGPU workloads from the CUDA SDK [52],Rodinia [9], GPGPU-Sim benchmarks [5], Lonestar [8], Par-boil [66], and US DoE application suites [72]. We use theNVIDIA profiling tool (NVProf) [52] to determine the exe-cution time of each application kernel. We sweep the threeparameters of the specification—number of threads in a threadblock, register usage per thread, and scratchpad memory us-age per thread block—for each workload, and measure theirimpact on execution time.

Figure 1 shows a summary of variation in performance(higher is better), normalized to the slowest specification foreach application, across all evaluated specification points foreach application2 in a Tukey box plot [48]. The boxes in thebox plot represent the range between the first quartile (25%)and the third quartile (75%). The whiskers extending fromthe boxes represent the maximum and minimum points ofthe distribution, or 1.5× the length of the box, whichever issmaller. Any points that lie more than 1.5× the box lengthbeyond the box are considered to be outliers [48], and areplotted as individual points. The line in the middle of the boxrepresents the median, while the “X” represents the average.

Figure 1: Performance variation across specifications

We can see that there is significant variation in performanceacross different specification points (as much as 5.51× in SP),proving the importance of optimized resource specifications.In some applications (e.g., BTR, SLA), few points perform well,and these points are significantly better than others, suggest-ing that it would be challenging for a programmer to locatethese high performing specifications and obtain the best per-formance. Many workloads (e.g., BH, DCT, MST) also havehigher concentrations of specifications with suboptimal perfor-mance in comparison to the best performing point, implyingthat, without effort, it is likely that the programmer will endup with a resource specification that leads to low performance.

There are several sources for this performance variation.One important source is the loss in thread-level parallelismas a result of a suboptimal resource specification. Suboptimalspecifications that are not tailored to fit the available physi-cal resources lead to the underutilization of resources. Thiscauses a drop in the number of threads that can be executedconcurrently, as there are insufficient resources to supporttheir execution. Hence, better and more balanced utilizationof resources enables higher thread-level parallelism. Often,

2Our technical report [71] contains more detail on the evaluated ranges.

this loss in parallelism from resource underutilization mani-fests itself in what we refer to as a performance cliff, where asmall deviation from an optimized specification can lead tosignificantly worse performance, i.e., there is very high vari-ation in performance between two specification points thatare nearby. To demonstrate the existence and analyze thebehavior of performance cliffs, we examine two representativeworkloads more closely.

Figure 2a shows (i) how the application execution timechanges; and (ii) how the corresponding number of regis-ters, statically used, changes when the number of threads perthread block increases from 32 to 1024 threads, for MinimumSpanning Tree (MST) [8]. We make two observations.

0

10000

20000

30000

40000

50000

60000

70000

0.8

0.9

1

1.1

1.2

1.3

1.4

1.5

1.6

0 200 400 600 800 1000

Total Registers Used

Normalized Execution Time

Threads/Block

Normalized Execution Time Total Registers

Cliffs at Different Points

(a) Threads/block sweep

1

1.1

1.2

1.3

1.4

1.5

1.6

1.7

1.8

32 128 224 320 416 512 608 704 800 896 992

Normalized Execution Time

Threads/Block

32

38

42

48

Registers/Thread

Cliffs at Different Points

(b) Threads/block & Registers/thread sweep

Figure 2: Performance cliffs in Minimum Spanning Tree (MST)

First, let us focus on the execution time between 480 and1024 threads per block. As we go from 480 to 640 threads perblock, execution time gradually decreases. Within this window,the GPU can support two thread blocks running concurrentlyfor MST. The execution time falls because the increase in thenumber of threads per block improves the overall throughput(the number of thread blocks running concurrently remainsconstant at two, but each thread block does more work inparallel by having more threads per block). However, thecorresponding total number of registers used by the blocks alsoincreases. At 640 threads per block, we reach the point wherethe total number of available registers is not large enough tosupport two blocks. As a result, the number of blocks executingin parallel drops from two to one, resulting in a significantincrease (50%) in execution time, i.e., the performance cliff. 3

3Prior work [77] has studied performing resource allocation at the finer warpgranularity, as opposed to the coarser granularity of a thread block. As wediscuss in Section 8 and demonstrate in Section 6, this does not solve theproblem of performance cliffs.

3

Page 4: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

We see many of these cliffs earlier in the graph as well, albeitnot as drastic as the one at 640 threads per block.

Second, Figure 2a shows the existence of performance cliffswhen we vary just one system parameter—the number ofthreads per block. To make things more difficult for theprogrammer, other parameters (i.e., registers per thread orscratchpad memory per thread block) also need to be decidedat the same time. Figure 2b demonstrates the same results,but when the number of registers per thread is also varied from32 to 48.4 As this figure shows, performance cliffs now occurat different points for different registers/thread curves, whichmakes optimizing resource specification, so as to avoid thesecliffs, much harder for the programmer.

We find that performance cliffs are pervasive in the work-loads we study. Barnes-Hut (BH) in Figure 3 is another examplethat exhibits very significant performance cliffs depending onthe number of threads per block and registers per thread.

0.81

1.21.41.61.82

2.22.42.62.83

128 224 320 416 512 608 704 800 896 992

Normalized Execution Time

Threads/Block

24 32 40 48Registers/Thread:

Cliffs atDifferent Points

Figure 3: Performance cliffs in Barnes-Hut (BH)

2.2. PortabilityAs we show in Section 2.1, tuning GPU applications to

achieve good performance on a given GPU is already a chal-lenging task. To make things worse, even after this tuningis done by the programmer for one particular GPU architec-ture, it has to be redone for every new GPU generation (due tochanges in the available physical resources across generations)to ensure that good performance is retained. We demonstratethis portability problem by running sweeps of the three param-eters of the resource specification on various workloads, onthree real GPU generations: Fermi (GTX 480), Kepler (GTX760), and Maxwell (GTX 745).

Figure 4 shows how the optimized performance pointschange between different GPU generations for two representa-tive applications (MST and DCT). For every generation, resultsare normalized to the lowest execution time for that particulargeneration. As we can see in Figure 4a, the best performingpoints for different generations occur at different specificationsbecause the application behavior changes with the variationin hardware resources. For MST, the Maxwell architectureperforms best at 64 threads per block. However, the samespecification point is not efficient for either of the other gen-erations (Fermi and Kepler), producing 15% and 30% lowerperformance, respectively, compared to the best specificationfor each generation. Similarly, for DCT (shown in Figure 4b),both Kepler andMaxwell perform best at 128 threads per block,but using the same specification for Fermi would lead to a 69%performance loss.

4We note that the register usage reported by the compiler may vary fromthe actual runtime register usage [52], hence slightly altering the points atwhich cliffs occur.

0.9

1

1.1

1.2

1.3

1.4

1.5

32 96 160 224 288 352 416 480 544 608 672 736

Normalized Execution Time

Threads/Block

Fermi Kepler Maxwell2.28

(a) MST

0.8

1

1.2

1.4

1.6

1.8

2

2.2

2.4

0 100 200 300 400 500Normalized Execution Time

Threads/Block

Fermi Kepler Maxwell3.16

(b) DCT

Figure 4: Performance variation across different GPU genera-tions (Fermi, Kepler, and Maxwell) for MST and DCT

2.3. Dynamic Resource UnderutilizationEven when a GPU application is perfectly tuned for a par-

ticular GPU architecture, the on-chip resources are typicallynot fully utilized [19, 21, 22, 30, 40, 55, 70, 84]. For exam-ple, it is well known that while the compiler conservativelyallocates registers to hold the maximum number of live val-ues throughout the execution, the number of live values atany given time is well below the maximum for large portionsof application execution time. To determine the magnitudeof this dynamic underutilization,5 we conduct an experimentwhere we measure the dynamic usage (per epoch) of bothscratchpad memory and registers for different applicationswith optimized specifications in our workload pool. We varythe length of epochs from 500 to 5000 cycles (not graphed).We find that even for a reasonably large epoch of 500 cycles,the average utilization of resources is very low (only 12% ofallocated scratchpad memory and 37% of registers are uti-lized). Moreover, even with the largest epoch size we analyze(5000 cycles), the average utilization of allocated scratchpadmemory is only 45% and of allocated registers is 68%. Thisobservation clearly suggests that there is an opportunity forbetter dynamic allocation of these resources that could allowhigher effective parallelism.

2.4. Our GoalAs we see above, the tight coupling between the resource

specification and hardware resource allocation, and the re-

5 Underutilization of registers occurs in two major forms—static, whereregisters are unallocated throughout execution [20, 22, 40, 70, 77], anddynamic, where utilization of the registers drops during runtime as a resultof early completion of warps [77], short register lifetimes [19, 21, 30] andlong latency operations [19, 21]. We do not tackle underutilization fromlong latency operations (such as memory accesses) in this paper, and leavethe exploration of alleviating this type of underutilization to future work.

4

Page 5: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

sulting heavy dependence of performance on the resourcespecification, creates a number of challenges. In this work, ourgoal is to alleviate these challenges by providing a mechanismthat can (i) ease the burden on the programmer by ensuringreasonable performance, regardless of the resource specification,by successfully avoiding performance cliffs, while retainingperformance for code with optimized specification; (ii) en-hance portability by minimizing the variation in performancefor optimized specifications across different GPU generations;and (iii)maximize dynamic resource utilization even in highlyoptimized code to further improve performance. We make twokey observations from our studies above to help us achievethis goal:

Observation 1: Bottleneck Resources. We find that perfor-mance cliffs occur when the amount of any resource requiredby an application exceeds the physically available amount ofthat resource. This resource becomes a bottleneck, and lim-its the amount of parallelism that the GPU can support. Ifit were possible to provide the application with a small addi-tional amount of the bottleneck resource, the application cansee a significant increase in parallelism and thus avoid theperformance cliff.

Observation 2: Underutilized Resources. As discussed inSection 2.3, there is significant underutilization of resourcesat runtime. These underutilized resources could be employedto support more parallelism at runtime, and thereby alleviatethe aforementioned challenges.

We use these two observations to drive our resource virtu-alization solution, which we describe next.

3. Zorua: Our ApproachIn this work, we design Zorua, a framework that provides

the illusion of more GPU resources than physically available bydecoupling the resource specification from its allocation in thehardware resources. We introduce a new level of indirectionby virtualizing the on-chip resources to allow the hardware tomanage resources transparently to the programmer.

The virtualization provided by Zorua builds upon two keyconcepts to leverage the aforementioned observations. First,when there are insufficient physical resources, we aim to pro-vide the illusion of the required amount by oversubscribingthe required resource. We perform this oversubscription byleveraging the dynamic underutilization as much as possible,or by spilling to a swap space in memory. This oversubscrip-tion essentially enables the illusion of more resources thanwhat is available (physically and statically), and supports theconcurrent execution of more threads. Performance cliffs aremitigated by providing enough additional resources to avoiddrastic drops in parallelism. Second, to enable efficient over-subscription by leveraging underutilization, we dynamicallyallocate and deallocate physical resources depending on therequirements of the application during execution. We man-age the virtualization of each resource independently of otherresources to maximize its runtime utilization.

Figure 5 depicts the high-level overview of the virtualizationprovided by Zorua. The virtual space refers to the illusion of thequantity of available resources. The physical space refers to theactual hardware resources (specific to the GPU architecture),and the swap space refers to the resources that do not fit in thephysical space and hence are spilled to other physical locations.For the register file and scratchpad memory, the swap space is

mapped to global memory space in the memory hierarchy. Forthreads, only those that are mapped to the physical space areavailable for scheduling and execution at any given time. If athread is mapped to the swap space, its state (i.e., the PC andthe SIMT stack) is saved in memory. Resources in the virtualspace can be freely re-mapped between the physical and swapspaces to maintain the illusion of the virtual space resources.

Threads Registers Scratchpad

Virtual Space

Swap Space Physical Space

ThreadsThreadsScheduler

Compute Units

Registers

Scratchpad

Registers

Scratchpad

Figure 5: High-level overview of Zorua

In the baseline architecture, the thread-level parallelismthat can be supported, and hence the throughput obtainedfrom the GPU, depends on the quantity of physical resources.With the virtualization enabled by Zorua, the parallelism thatcan be supported now depends on the quantity of virtual re-sources (and how their mapping into the physical and swapspaces is managed). Hence, the size of the virtual space foreach resource plays the key role of determining the parallelismthat can be exploited. Increasing the virtual space size enableshigher parallelism, but leads to higher swap space usage. It iscritical to minimize accesses to the swap space to avoid the la-tency overhead and capacity/bandwidth contention associatedwith accessing the memory hierarchy.

In light of this, there are two key challenges that need to beaddressed to effectively virtualize on-chip resources in GPUs.We now discuss these challenges and provide an overview ofhow we address them.

3.1. Challenges in VirtualizationChallenge 1: Controlling the Extent of Oversubscription. A

key challenge is to determine the extent of oversubscription,or the size of the virtual space for each resource. As discussedabove, increasing the size of the virtual space enables moreparallelism. Unfortunately, it could also result in more spillingof resources to the swap space. Finding the tradeoff betweenmore parallelism and less overhead is challenging, becausethe dynamic resource requirements of each thread tend tosignificantly fluctuate throughout execution. As a result, thesize of the virtual space for each resource needs to be continu-ously tuned to allow the virtualization to adapt to the runtimerequirements of the program.

Challenge 2: Control and Coordination of Multiple Resources.Another critical challenge is to efficiently map the continuouslyvarying virtual resource space to the physical and swap spaces.This is important for two reasons. First, it is critical to min-imize accesses to the swap space. Accessing the swap spacefor the register file or scratchpad involves expensive accessesto global memory, due to the added latency and contention.Also, only those threads that are mapped to the physical spaceare available to the warp scheduler for selection. Second, eachthread requires multiple resources for execution. It is critical

5

Page 6: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

to coordinate the allocation and mapping of these differentresources to ensure that an executing thread has all the re-quired resources allocated to it, while minimizing accesses tothe swap space. Thus, an effective virtualization frameworkmust coordinate the allocation of multiple on-chip resources.

3.2. Key Ideas of Our DesignTo solve these challenges, Zorua employs two key ideas.

First, we leverage the software (the compiler) to provide anno-tations with information regarding the resource requirementsof each phase of the application. This information enables theframework to make intelligent dynamic decisions, with respectto both the size of the virtual space and the allocation/deallo-cation of resources (Section 3.2.1).

Second, we use an adaptive runtime system to control theallocation of resources in the virtual space and their mappingto the physical/swap spaces. This allows us to (i) dynamicallyalter the size of the virtual space to change the extent of over-subscription; and (ii) continuously coordinate the allocationof multiple on-chip resources and the mapping between theirvirtual and physical/swap spaces, depending on the varyingruntime requirements of each thread (Section 3.2.2).

3.2.1. Leveraging Software Annotations of Phase Charac-teristics. We observe that the runtime variation in resourcerequirements (Section 2.3) typically occurs at the granularityof phases of a few tens of instructions. This variation occursbecause different parts of kernels perform different operationsthat require different resources. For example, loops that pri-marily load/store data from/to scratchpad memory tend tobe less register heavy. Sections of code that perform specificcomputations (e.g., matrix transformation, graph manipula-tion), can either be register heavy or primarily operate out ofscratchpad. Often, scratchpad memory is used for only shortintervals [84], e.g., when data exchange between threads isrequired, such as for a reduction operation.

Figure 6 depicts a few example phases from the NQU (N-Queen Solver) [11] kernel. NQU is a scratchpad-heavy applica-tion, but it does not use the scratchpad at all during the initialcomputation phase. During its second phase, it performs itsprimary computation out of the scratchpad, using as muchas 4224B. During its last phase, the scratchpad is used onlyfor reducing results, which requires only 384B. There is alsosignificant variation in the maximum number of live registersin the different phases.__global__ void solve_nqueen_cuda_kernel(…){

.phasechange 16,0;----------------------------------------------------

// initialization phase

const int tid = threadIdx.x;

const int bid = blockIdx.x;

...

.phasechange 24,4224;-------------------------------------------------

if(idx < total_conditions) {

mask[tid][i] = total_masks[idx];

...

}

__syncthreads();

.phasechange 12,384;--------------------------------------------------

// reduction phase

if(tid < 64 && tid + 64 < BLOCK_SIZE)

{ sum[tid] += sum[tid + 64]; }

...

}

Phase #1: 16 Regs, 0B Scratchpad

Phase #2: 24 Regs, 4224B Scratchpad

Phase #3: 12 Regs, 384B Scratchpad

Figure 6: Example phases from NQU

In order to capture both the resource requirements as wellas their variation over time, we partition the program intoa number of phases. A phase is a sequence of instructionswith sufficiently different resource requirements than adja-

cent phases. Barrier or fence operations also indicate a changein requirements for a different reason—threads that are wait-ing at a barrier do not immediately require the thread slotthat they are holding. We interpret barriers and fences asphase boundaries since they potentially alter the utilizationof their thread slots. The compiler inserts special instructionscalled phase specifiers to mark the start of a new phase. Eachphase specifier contains information regarding the resourcerequirements of the next phase. Section 4.6 provides moredetail on the semantics of phases and phase specifiers.

A phase forms the basic unit for resource allocation and de-allocation, as well as for making oversubscription decisions. Itoffers a finer granularity than an entire thread to make such de-cisions. The phase specifiers provide information on the futureresource usage of the thread at a phase boundary. This enables(i) preemptively controlling the extent of oversubscription atruntime, and (ii) dynamically allocating and deallocating re-sources at phase boundaries to maximize utilization of thephysical resources.

3.2.2. Control with an Adaptive Runtime System. Phasespecifiers provide information to make oversubscription andallocation/deallocation decisions. However, we still need away to make decisions on the extent of oversubscription andappropriately allocate resources at runtime. To this end, weuse an adaptive runtime system, which we refer to as thecoordinator. Figure 7 presents an overview of the coordinator.

Pending Threads

Active Threads

Physical/Swap Space To Warp Scheduler & Compute Units

COORDINATOR

Application Threads

Schedulable Threads

Virtual Space

Figure 7: Overview of the coordinator

The virtual space enables the illusion of a larger amount ofeach of the resources than what is physically available, to adaptto different application requirements. This illusion enableshigher thread-level parallelism than what can be achieved withsolely the fixed, physically available resources, by allowingmore threads to execute concurrently. The size of the virtualspace at a given time determines this parallelism, and thosethreads that are effectively executed in parallel are referred toas active threads. All active threads have thread slots allocatedto them in the virtual space (and hence can be executed), butsome of them may not be mapped to the physical space at agiven time. As discussed previously, the resource requirementsof each application continuously change during execution. Toadapt to these runtime changes, the coordinator leveragesinformation from the phase specifiers to make decisions onoversubscription. The coordinator makes these decisions atevery phase boundary and thereby controls the size of thevirtual space for each resource (see Section 4.2).

To enforce the determined extent of oversubscription, thecoordinator allocates all the required resources (in the virtualspace) for only a subset of threads from the active threads. Onlythese dynamically selected threads, referred to as schedulable

6

Page 7: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

threads, are available to the warp scheduler and compute unitsfor execution. The coordinator, hence, dynamically partitionsthe active threads into schedulable threads and the pendingthreads. Each thread is swapped between schedulable andpending states, depending on the availability of resources inthe virtual space. Selecting only a subset of threads to executeat any time ensures that the determined size of the virtualspace is not exceeded for any resource, and helps coordinatethe allocation and mapping of multiple on-chip resources tominimize expensive data transfers between the physical andswap spaces (discussed in Section 4).

3.3. Overview of ZoruaIn summary, to effectively address the challenges in virtual-

ization by leveraging the above ideas in design, Zorua employsa software-hardware codesign that comprises three compo-nents: (i) The compiler annotates the program by addingspecial instructions (phase specifiers) to partition it into phasesand to specify the resource needs of each phase of the ap-plication. (ii) The coordinator, a hardware-based adaptiveruntime system, uses the compiler annotations to dynamicallyallocate/deallocate resources for each thread at phase bound-aries. The coordinator plays the key role of continuously con-trolling the extent of the oversubscription (and hence the sizeof the virtual space) at each phase boundary. (iii) Hardwarevirtualization support includes a mapping table for each re-source to locate each virtual resource in either the physicalspace or the swap space in main memory, and the machineryto swap resources between the physical and swap spaces.

4. Zorua: Detailed MechanismWe now detail the operation and implementation of the

various components of the Zorua framework.

4.1. Key Components in HardwareZorua has two key hardware components: (i) the coordi-

nator that contains queues to buffer the pending threads andcontrol logic to make oversubscription and resource manage-ment decisions, and (ii) resource mapping tables to map each ofthe resources to their corresponding physical or swap spaces.

Figure 8 presents an overview of the hardware componentsthat are added to each SM. The coordinator interfaces withthe thread block scheduler (¶) to schedule new blocks onto anSM. It also interfaces with the warp schedulers by providing alist of schedulable warps (¼).6 The resource mapping tablesare accessible by the coordinator and the compute units. Wepresent a detailed walkthrough of the operation of Zorua andthen discuss its individual components in more detail.

4.2. Detailed WalkthroughThe coordinator is called into action by three events: (i) a

new thread block is scheduled at the SM for execution, (ii) awarp undergoes a phase change, or (iii) a warp or a threadblock reaches the end of execution. Between these events,the coordinator performs no action and execution proceedsas usual. We now walk through the sequence of actions per-formed by the coordinator for each type of event.

Thread Block: Execution Start. When a thread block isscheduled onto an SM for execution (¶), the coordinator

6We use an additional bit in each warp slots to indicate to the schedulerwhether the warp is schedulable.

ReleaseResources

Coordinator

Thread/BarrierQueue

ScratchpadQueue

RegisterQueue

Oversubscribe threads?

Oversubscribe scratchpad?

Oversubscribe registers?

Phase Change

Register Mapping Table

Scratchpad Mapping Table

Thread Mapping Table

Schedulable Threads

To ComputeUnits

1

2 3 4

5

7

6

8

9

10

ApplicationThread Blocks Thread

Block Scheduler Acquire

ResourcesWarp

Scheduler

5

Figure 8: Overview of Zorua in hardware

first buffers it. The primary decision that the coordinatormakes is to determine whether or not to make each threadavailable to the scheduler for execution. The granularity atwhich the coordinator makes decisions is that of a warp, asthreads are scheduled for execution at the granularity of awarp (hence we use thread slot and warp slot interchangeably).Each warp requires three resources: a thread slot, registers,and potentially scratchpad. The amount of resources requiredis determined by the phase specifier (Section 4.6) at the startof execution, which is placed by the compiler into the code.The coordinator must supply each warp with all its requiredresources in either the physical or swap space before presentingit to the warp scheduler for execution.

To ensure that each warp is furnished with its resources andto coordinate potential oversubscription for each resource, thecoordinator has three queues—thread/barrier, scratchpad, andregister queues. The three queues together essentially housethe pending threads. Each warp must traverse each queue(· ¸ ¹), as described next, before becoming eligible to bescheduled for execution. The coordinator allows a warp totraverse a queue when (a) it has enough of the correspond-ing resource available in the physical space, or (b) it has aninsufficient resources in the physical space, but has decidedto oversubscribe and allocate the resource in the swap space.The total size of the resource allocated in the physical andswap spaces cannot exceed the determined virtual space size.The coordinator determines the availability of resources inthe physical space using the mapping tables (see Section 4.5).If there is an insufficient amount of a resource in the phys-ical space, the coordinator needs to decide whether or notto increase the virtual space size for that particular resourceby oversubscribing and using swap space. We describe thedecision algorithm in Section 4.4. If the warp cannot traverseall queues, it is left waiting in the first (thread/barrier) queueuntil the next coordinator event. Once a warp has traversed allthe queues, the coordinator acquires all the resources requiredfor the warp’s execution (º). The corresponding mapping ta-bles for each resource is updated (») to assign resources tothe warp, as described in Section 4.5.

Warp: Phase Change. At each phase change (½), the warpis removed from the list of schedulable warps and is returnedto the coordinator to acquire/release its resources. Based onthe information in its phase specifier, the coordinator releasesthe resources that are no longer live and hence are no longerrequired (¾). The coordinator updates the mapping tablesto free these resources (¿). The warp is then placed into aspecific queue, depending on which live resources it retained

7

Page 8: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

from the previous phase and which new resources it requires.The warp then attempts to traverse the remaining queues(· ¸ ¹), as described above. A warp that undergoes a phasechange as a result of a barrier instruction is queued in thethread/barrier queue (·) until all warps in the same threadblock reach the barrier.

Thread Block/Warp: Execution End. When a warp com-pletes execution, it is returned to the coordinator to releaseany resources it is holding. Scratchpad is released only whenthe entire thread block completes execution. When the coor-dinator has free warp slots for a new thread block, it requeststhe thread block scheduler (¶) for a new block.

Every Coordinator Event. At any event, the coordinatorattempts to find resources for warps waiting at the queues,to enable them to execute. Each warp in each queue (start-ing from the register queue) is checked for the availability ofthe required resources. If the coordinator is able to allocateresources in the physical or swap space without exceedingthe determined size of virtual space, the warp is allowed totraverse the queue.

4.3. Benefits of Our DesignDecoupling the Warp Scheduler and Mapping Tables

from the Coordinator. Decoupling the warp scheduler fromthe coordinator enables Zorua to use any scheduling algorithmover the schedulable warps to enhance performance. One casewhen this is useful is when increasing parallelism degradesperformance by increasing cache miss rate or causing memorycontention [35, 36, 58]. Our decoupled design allows thischallenge to be addressed independently from the coordinatorusing more intelligent scheduling algorithms [36, 50, 58] andcache management schemes [3, 44, 45, 78]. Furthermore,decoupling the mapping tables from the coordinator allowseasy integration of any implementation of the mapping tablesthat may improve efficiency for each resource.

Coordinating Oversubscription for Multiple Resources.The queues help ensure that a warp is allocated all resources inthe virtual space before execution. They (i) ensure an orderingin resource allocation to avoid deadlocks, and (ii) enforcepriorities between resources. In our evaluated approach, weuse the following order of priorities: threads, scratchpad, andregisters. We prioritize scratchpad over registers, as scratchpadis shared by all warps in a block and hence has a higher valueby enabling more warps to execute. We prioritize threadsover scratchpad, as it is wasteful to allow warps stalled ata barrier to acquire other resources—other warps that arestill progressing towards the barrier may be starved of theresource they need. Furthermore, managing each resourceindependently allows different oversubscription policies foreach resource and enables fine-grained control over the sizeof the virtual space for that resource.7

Flexible Oversubscription. Zorua’s design can flexibly en-able/disable swap space usage, as the dynamic fine-grainedmanagement of resources is independent of the swap space.Hence, in cases where the application is well-tuned to utilizethe available resources, swap space usage can be disabled orminimized, and Zorua can still improve performance by re-ducing dynamic underutilization of resources. Furthermore,different oversubscription algorithms can be flexibly employed

7Our technical report [71] has a more detailed discussion of these benefits.

to manage the size of the virtual space for each resource (inde-pendently or cooperatively). These algorithms can be designedfor different purposes, e.g., minimizing swap space usage, im-proving fairness in a multikernel setting, reducing energy, etc.In Section 4.4, we describe an example algorithm to improveperformance by making a good tradeoff between improvingparallelism and reducing swap space usage.

4.4. Oversubscription DecisionsLeveraging Phase Specifiers. Zorua leverages the infor-

mation provided by phase specifiers (Section 4.6) to makeoversubscription decisions for each phase. For each resource,the coordinator checks whether allocating the requested quan-tity according to the phase specifier would cause the total swapspace to exceed an oversubscription threshold, or o_thresh. Thisthreshold essentially dynamically sets the size of the virtualspace for each resource. The coordinator allows oversubscrip-tion for each resource only within its threshold. o_thresh isdynamically determined to adapt to the characteristics of theworkload, and tp ensure good performance by achieving agood tradeoff between the overhead of oversubscription andthe benefits gained from parallelism.

Determining the Oversubscription Threshold. In orderto make the above tradeoff, we use two architectural statistics:(i) idle time at the cores, c_idle, as an indicator for potentialperformance benefits from parallelism; and (ii) memory idletime (the idle cycles when all threads are stalled waiting fordata from memory or the memory pipeline), c_mem, as anindicator of a saturated memory subsystem that is unlikelyto benefit from more parallelism.8 We use Algorithm 1 todetermine o_thresh at runtime. Every epoch, the change inc_mem is compared with the change in c_idle. If the increasein c_mem is greater, this indicates an increase in pressure onthe memory subsystem, suggesting both lesser benefit fromparallelism and higher overhead from oversubscription. Inthis case, we reduce o_thresh. On the other hand, if the in-crease in c_idle is higher, this is indicative of more idleness inthe pipelines, and higher potential performance from paral-lelism and oversubscription. We increase o_thresh in this case,to allow more oversubscription and enable more parallelism.Table 1 describes the variables used in Algorithm 1.9

Algorithm 1 Determining the oversubscription threshold1: o_thresh = o_default2: for each epoch do3: c_idle_delta = (c_idle - c_idle_prev)4: c_mem_delta = (c_mem - c_mem_prev)5: if (c_idle_delta - c_mem_delta) > c_delta_thresh then6: o_thresh += o_thresh_step7: end if8: if (c_mem_delta - c_idle_delta) > c_delta_thresh then9: o_thresh -= o_thresh_step10: end if11: end for

4.5. Virtualizing On-chip ResourcesA resource can be in either the physical space, in which

case it is mapped to the physical on-chip resource, or the swapspace, in which case it can be found in the memory hierarchy.Thus, a resource is effectively virtualized, and we need totrack the mapping between the virtual and physical/swap

8This is similar to the approach taken by prior work [35] to estimate theperformance benefits of increasing parallelism.

9We include more detail on these variables in our technical report [71].

8

Page 9: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

Variable Description

o_thresh oversubscription threshold (dynamically determined)

o_default initial value for o_thresh

c_idle core cycles when no threads are issued to the core(but the pipeline is not stalled) [35]

c_mem core cycles when all warps are waiting for datafrom memory or stalled at the memory pipeline

*_prev the above statistics for the previous epoch

c_delta_thresh threshold to produce change in o_thresh

o_thresh_step increment/decrement to o_thresh

epoch interval in core cycles to change o_thresh

Table 1: Variables for oversubscription

spaces. We use a mapping table for each resource to determine(i) whether the resource is in the physical or swap space, and(ii) the location of the resource within the physical on-chiphardware. The compute units access these mapping tablesbefore accessing the real resources. An access to a resourcethat is mapped to the swap space is converted to a globalmemory access that is addressed by the logical resource IDand warp/block ID (and a base register for the swap spaceof the resource). In addition to the mapping tables, we usetwo registers per resource to track the amount of the resourcethat is (i) free to be used in physical space, and (ii) mappedin swap space. These two counters enable the coordinator tomake oversubscription decisions (Section 4.4). We now gointo more detail on virtualized resources in Zorua.10

4.5.1. Virtualizing Registers and Scratchpad Memory. Inorder to minimize the overhead of large mapping tables,we map registers and scratchpad at the granularity of a set.The size of a set is configurable by the architect—we use4*warp_size11 for the register mapping table, and 1KB forscratchpad. The register mapping table is indexed by the warpID and the logical register set number (logical_register_number/ register_set_size). The scratchpad mapping table is indexedby the block ID and the logical scratchpad set number (log-ical_scratchpad_address / scratchpad_set_size). Each entryin the mapping table contains the physical address of theregister/scratchpad content in the physical register file orscratchpad. The valid bit indicates whether the logical entryis mapped to the physical space or the swap space. With 64logical warps and 16 logical thread blocks (see Section 5.1),the register mapping table takes 1.125 KB (64×16×9 bits, or0.87% of the register file) and the scratchpad mapping tabletakes 672 B (16 × 48 × 7 bits, or 1.3% of the scratchpad).

4.5.2. Virtualizing Thread Slots. Each SM is provisionedwith a fixed number of warp slots, which determine the num-ber of warps that are considered for execution every cycle bythe warp scheduler. In order to oversubscribe warp slots, weneed to save the state of each warp in memory before remap-ping the physical slot to another warp. This state includes thebookkeeping required for execution, i.e., the warp’s PC (pro-gram counter) and the SIMT stack, which holds divergenceinformation for each executing warp. The thread slot mappingtable records whether each warp is mapped to a physical slotor swap space. The table is indexed by the logical warp ID,

10Our implementation of a virtualized resource aims to minimize complexity.This implementation is largely orthogonal to the framework itself, andone can envision other implementations (e.g., [30, 84, 85]) for differentresources.

11We track registers at the granularity of a warp.

and stores the address of the physical warp slot that containsthe warp. In our baseline design with 64 logical warps, thismapping table takes 56 B (64 × 7 bits).

4.6. Supporting Phases and Phase SpecifiersIdentifying phases. The compiler partitions each applica-

tion into phases based on the liveness of registers and scratch-pad memory. To avoid changing phases too often, the compileruses thresholds to determine phase boundaries. In our evalua-tion, we define a new phase boundary when there is (i) a 25%change in the number of live registers or live scratchpad con-tent, and (ii) a minimum of 10 instructions since the last phaseboundary. To simplify hardware design, the compiler drawsphase boundaries only where there is no control divergence.12

Once the compiler partitions the application into phases, itinserts instructions—phase specifiers—to specify the beginningof each new phase and convey information to the framework onthe number of registers and scratchpad memory required foreach phase. As described in Section 3.2.1, a barrier or a fenceinstruction also implies a phase change, but the compiler doesnot insert a phase specifier for it as the resource requirementdoes not change.

Phase Specifiers. The phase specifier instruction containsfields to specify (i) the number of live registers and (ii) theamount of scratchpadmemory in bytes, both for the next phase.The instruction decoder sends this information to the coor-dinator along with the phase change event. The coordinatorkeeps this information in the corresponding warp slot.

4.7. Role of the Compiler and ProgrammerThe compiler plays an important role, annotating the code

with phase specifiers to convey information to the coordinatorregarding the resource requirements of each phase. The com-piler, however, does not alter the size of each thread block orthe scratchpad memory usage of the program. The resourcespecification provided by the programmer (either manually orvia auto-tuners) is retained to guarantee correctness. For reg-isters, the compiler follows the default policy or uses directivesas specified by the user. One could envision more powerful,efficient resource allocation with a programming model thatdoes not require any resource specification and/or compilerpolicies/auto-tuners that are cognizant of the virtualized re-sources. We leave this exploration for future work.

5. Methodology5.1. System Modeling and Configuration

We model the Zorua framework with GPGPU-Sim 3.2.2 [5].Table 2 summarizes the major parameters. Except for theportability results, all results are obtained using the Fermiconfiguration. We use GPUWattch [42] to model the GPUpower consumption. We faithfully model the overheads of theZorua framework, including an additional 2-cycle penalty foraccessing each mapping table, and the overhead of memoryaccesses for swap space accesses (modeled as a part of thememory system). We model the energy overhead of mappingtable accesses as SRAM accesses in GPUWattch.12The phase boundaries for the applications in our pool easily fit this restric-tion, but the framework can be extended to support control divergence ifneeded.

9

Page 10: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

System Overview 15 SMs, 32 threads/warp, 6 memory channels

Shader Core Config 1.4 GHz, GTO scheduler [58], 2 schedulers per SM

Warps/SM Fermi: 48; Kepler/Maxwell: 64

Registers Fermi: 32768; Kepler/Maxwell: 65536

Scratchpad Fermi/Kepler: 48KB; Maxwell: 64KB

On-chip Cache L1: 32KB, 4 ways; L2: 768KB, 16 ways

Interconnect 1 crossbar/direction (15 SMs, 6 MCs), 1.4 GHz

Memory Model 177.4 GB/s BW, 6 memory controllers (MCs),FR-FCFS scheduling, 16 banks/MC

Table 2: Major parameters of the simulated systems

5.2. Evaluated Applications and MetricsWe evaluate a number of applications from the Lonestar

suite [8], GPGPU-Sim benchmarks [5], and CUDA SDK [52],whose resource specifications (the number of registers, theamount of scratchpad memory, and/or the number of threadsper thread block) are parameterizable. Table 3 shows theapplications and the evaluated parameter ranges. For eachapplication, we make sure the amount of work done is thesame for all specifications. The performance metric we useis the execution time of the GPU kernels in the evaluatedapplications.

Name (Abbreviation) (R: Register, S: Scratchpad,T: Thread block) Range

Barnes-Hut (BH) [8] R:28-44 × T:128-1024

Discrete Cosine Transform (DCT) [52] R:20-40 × T: 64-512

Minimum Spanning Tree (MST) [8] R:28-44 × T: 256-1024

Reduction (RD) [52] R:16-24 × T:64-1024

N-Queens Solver (NQU) [11] [5] S:10496-47232 (T:64-288)

Scan Large Array (SLA) [52] R:24-36 × T:128-1024

Scalar Product (SP) [52] S:2048-8192 × T:128-512

Single-Source Shortest Path (SSSP) [8] R:16-36 × T:256-1024

Table 3: Summary of applications

6. EvaluationWe evaluate the effectiveness of Zorua by studying three

different mechanisms: (i) Baseline, the baseline GPU thatschedules kernels and manages resources at the thread blocklevel; (ii) WLM (Warp Level Management), a state-of-the-artmechanism for GPUs to schedule kernels and manage registersat the warp level [77]; and (iii) Zorua. For our evaluations,we run each application on 8–65 (36 on average) differentresource specifications13 (the ranges are in Table 3).

6.1. Effect on Performance Variation and CliffsWe first examine how Zorua alleviates the high variation

in performance by reducing the impact of resource specifica-tions on resource utilization. Figure 9 presents a Tukey boxplot [48] (see Section 2 for a description of the presentedbox plot), illustrating the performance distribution (higher isbetter) for each application (for all different application re-source specifications we evaluated), normalized to the slowestBaseline operating point for that application. We make twomajor observations.

First, we find that Zorua significantly reduces the perfor-mance range across all evaluated resource specifications. Aver-aged across all of our applications, the worst resource speci-fication for Baseline achieves 96.6% lower performance thanthe best performing resource specification. For WLM [77],

13Our technical report [71] provides the specifications.

Figure 9: Normalized performance distribution

this performance range reduces only slightly, to 88.3%. WithZorua, the performance range drops significantly, to 48.2%.We see drops in the performance range for all applicationsexcept SSSP. With SSSP, the range is already small to beginwith (23.8% in Baseline), and Zorua exploits the dynamicunderutilization, which improves performance but also adds asmall amount of variation.

Second, while Zorua reduces the performance range, it alsopreserves or improves performance of the best performingpoints. As we examine in more detail in Section 6.2, thereduction in performance range occurs as a result of improvedperformance mainly at the lower end of the distribution.

To gain insight into how Zorua reduces the performancerange and improves performance for the worst performingpoints, we analyze how it reduces performance cliffs. WithZorua, we ideally want to eliminate the cliffs we observed inSection 2.1. We study the tradeoff between resource specifica-tion and execution time for three representative applications:DCT (Figure 10a), MST (Figure 10b), and NQU (Figure 10c).For all three figures, we normalize execution time to the bestexecution time under Baseline. Two observations are in order.

0.5

1

1.5

2

2.5

0 200 400 600

Normalized Exec. Time

Threads/Block

Baseline WLM Zorua

(a) DCT

0.5

1

1.5

2

200 500 800 1100

Threads/Block

Baseline WLM Zorua

0.5

1.5

(b) MST

11000.5

1

1.5

2

9000 29000 49000

Scratchpad/Block

Baseline WLM Zorua

(c) NQU

Figure 10: Effect on performance cliffs

First, Zorua successfully mitigates the performance cliffsthat occur in Baseline. For example, DCT and MST are bothsensitive to the thread block size, as shown in Figures 10aand 10b, respectively. We have circled the locations at whichcliffs exist in Baseline. Unlike Baseline, Zorua maintains moresteady execution times across the number of threads per block,employing oversubscription to overcome the loss in parallelismdue to insufficient on-chip resources. We see similar resultsacross all of our applications.

Second, we observe that while WLM [77] can reduce someof the cliffs by mitigating the impact of large block sizes, manycliffs still exist under WLM (e.g., NQU in Figure 10c). This cliffin NQU occurs as a result of insufficient scratchpad memory,which cannot be handled by warp-level management. Simi-larly, the cliffs for MST (Figure 10b) also persist with WLMbecauseMST has a lot of barrier operations, and the additionalwarps scheduled by WLM ultimately stall, waiting for otherwarps within the same block to acquire resources. We findthat, with oversubscription, Zorua is able to smooth out thosecliffs that WLM is unable to eliminate.

10

Page 11: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

Overall, we conclude that Zorua (i) reduces the perfor-mance variation across resource specification points, so thatperformance depends less on the specification provided bythe programmer; and (ii) can alleviate the performance cliffsexperienced by GPU applications.

6.2. Effect on PerformanceAs Figure 9 shows, Zorua either retains or improves the

best performing point for each application, compared to theBaseline. Zorua improves the best performing point for eachapplication by 12.8% on average, and by as much as 27.8%(for DCT). This improvement comes from the improved paral-lelism obtained by exploiting the dynamic underutilization ofresources, which exists even for optimized specifications. Appli-cations such as SP and SLA have little dynamic underutilization,and hence do not show any performance improvement. NQUdoes have significant dynamic underutilization, but Zorua doesnot improve the best performing point as the overhead of over-subscription outweighs the benefit, and Zorua dynamicallychooses not to oversubscribe. We conclude that even for manyspecifications that are optimized to fit the hardware resources,Zorua is able to further improve performance.

We also note that, in addition to reducing performancevariation and improving performance for optimized points,Zorua improves performance by 25.2% on average for allresource specifications across all evaluated applications.

6.3. Effect on PortabilityAs we describe in Section 2.2, performance cliffs often be-

have differently across different GPU architectures, and cansignificantly shift the best performing resource specificationpoint. We study how Zorua can ease the burden of perfor-mance tuning if an application has been already tuned for oneGPU model, and is later ported to another GPU. To understandthis, we define a new metric, porting performance loss, thatquantifies the performance impact of porting an applicationwithout re-tuning it. To calculate this, we first normalize theexecution time of each specification point to the executiontime of the best performing specification point. We then picka source GPU architecture (i.e., the architecture that the GPUwas tuned for) and a target GPU architecture (i.e., the archi-tecture that the code will run on), and find the point-to-pointdrop in performance for all points whose performance on thesource GPU comes within 5% of the performance at the bestperforming specification point.14

Figure 11 shows the maximum porting performance lossfor each application, across any two pairings of our threesimulated GPU architectures (Fermi, Kepler, and Maxwell).We find that Zorua greatly reduces the maximum portingperformance loss that occurs under both Baseline and WLMfor all but one of our applications. On average, the maximumporting performance loss is 52.7% for Baseline, 51.0% forWLM, and only 23.9% for Zorua.

Notably, Zorua delivers significant improvements in porta-bility for applications that previously suffered greatly whenported to another GPU, such as DCT and MST. For both ofthese applications, the performance variation differs so much

14We include any point within 5% of the best performance as there are oftenmultiple points close to the best point, and the programmer may chooseany of them.

0%

50%

100%

150%

BH DCT MST NQU RD SLA SP SSSP AVG

Maximum Porting

Performance Loss Baseline WLM Zorua

Figure 11: Maximum porting performance loss

between GPU architectures that, despite tuning the applica-tion on the source GPU to be within 5% of the best achievableperformance, their performance on the target GPU is oftenmore than twice as slow as the best achievable performanceon the target platform. Zorua significantly lowers this portingperformance loss down to 28.1% for DCT and 36.1% for MST.We also observe that for BH, Zorua actually increases the port-ing performance loss slightly with respect to the Baseline. Thisis because for Baseline, there are only two points that performwithin the 5% margin for our metric, whereas with Zorua,we have five points that fall in that range. Despite this, theincrease in porting performance loss for BH is low, deviatingonly 7.0% from the best performance.

We conclude that Zorua enhances portability of applicationsby reducing the impact of a change in the hardware resourcesfor a given resource specification. For applications that havealready been tuned on one platform, Zorua significantly lowersthe penalty of not re-tuning for another platform, allowingprogrammers to save development time.

6.4. A Deeper Look: Benefits & OverheadsTo take a deeper look into how Zorua is able to provide the

above benefits, in Figure 12, we show the number of schedu-lable warps (i.e., warps that are available to be scheduled bythe warp scheduler at any given time excluding warps waitingat a barrier), averaged across all of specification points. Onaverage, Zorua increases the number of schedulable warpsby 32.8%, significantly more than WLM (8.1%), which isconstrained by the fixed amount of available resources. Weconclude that by oversubscribing and dynamically managingresources, Zorua is able to improve thread-level parallelism,and hence performance.

0.0

0.5

1.0

1.5

2.0

BH DCT MST NQU RD SLA SP SSSP GM

Normalized

Schedulable Warps WLM Zorua

Figure 12: Effect on schedulable warps

We also find that the overheads due to resource swappingand contention do not significantly impact the performanceof Zorua. The oversubscription mechanism (directed by thecoordinator) is able to keep resource hit rates very high, withan average hit rate of 98.9% for the register file and 99.6%for scratchpad memory (not shown).

Figure 13 shows the average reduction in total system energyconsumption of WLM and Zorua over Baseline for each applica-tion (averaged across the individual energy consumption overBaseline for each evaluated specification point). We observethat Zorua reduces the total energy consumption across all of

11

Page 12: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

our applications, except for NQU (which has a small increaseof 3%). Overall, Zorua provides a mean energy reduction of7.6%, up to 20.5% for DCT.15 We conclude that Zorua is anenergy-efficient virtualization framework for GPUs.

0.5

0.6

0.7

0.8

0.9

1.0

BH DCT MST NQU RD SLA SP SSSP GM

Normalized Energy WLM Zorua

Figure 13: Effect on energy consumption

We estimate the die area overhead of Zorua with CACTI6.5 [76], using the same 40nm process node as the GTX 480 ,which our system closely models. We include all the overheadsfrom the coordinator and the resource mapping tables (Section4). The total area overhead is 0.735mm2 for all 15 SMs, whichis only 0.134% of the die area of the GTX 480.

7. Other ApplicationsBy providing the illusion of more resources than physically

available, Zorua provides the opportunity to help address otherimportant challenges in GPU computing today. We discussseveral such opportunities in this section.

7.1. Multi-Programmed EnvironmentsExecuting multiple kernels or applications within the same

SM can improve resource utilization and efficiency [24, 33,55, 75, 87]. Hence, providing support to enable fine-grainedsharing and partitioning of resources is critical for future GPUsystems. Zorua provides several key benefits for enabling bet-ter performance and efficiency in multi-kernel/multi-programenvironments. First, selecting the optimal resource specifica-tion for an application is challenging in virtualized environ-ments (e.g., clouds), as it is unclear which other applicationsmay be running alongside it. Zorua can improve efficiency inresource utilization irrespective of the application specificationsand of other kernels that may be executing on the same SM.Second, Zorua manages the different resources independentlyand at a fine granularity, using a dynamic runtime system (thecoordinator). This enables the maximization of resource uti-lization, while providing the ability to control the partitioningof resources at runtime to provide QoS, fairness, etc., by lever-aging the coordinator. Third, Zorua enables oversubscriptionof the different resources. This obviates the need to alter theapplication specifications [55, 87] in order to ensure there aresufficient resources to co-schedule kernels on the same SM,and hence enables concurrent kernel execution transparentlyto the programmer.

7.2. Preemptive MultitaskingA key challenge in enabling true multiprogramming in GPUs

is enabling rapid preemption of kernels [56, 67, 75]. Contextswitching on GPUs incurs a very high latency and overhead,as a result of the large amount of register file and scratch-pad state that needs to be saved before a new kernel can

15We note that the energy consumption can be reduced further by appropri-ately optimizing the oversubscription algorithm. We leave this explorationto future work.

be executed. Saving state at a very coarse granularity (e.g.,the entire SM state) leads to very high preemption latencies.Prior work proposes context minimization [49, 56] or contextswitching at the granularity of a thread block [75] to improveresponse time during preemption. Zorua enables fine-grainedmanagement and oversubscription of on-chip resources. Itcan be naturally extended to enable quick preemption of atask via intelligent management of the swap space and themapping tables (complementary to approaches taken by priorwork [49, 56]).

7.3. Other UsesThe ability to allocate/deallocate resources on demand

during runtime makes Zorua a useful substrate to facilitatesupport for (i) system-level tasks, e.g., interrupts and ex-ceptions (such as page faults); (ii) efficient dynamic par-allelism [53, 74], which enables nested launching of ker-nels/thread blocks; and (iii) supplying resources for helperthreads/background tasks [70]. The indirection offered byZorua, along with the dynamic management of resources,could also enable better reliability (via simpler remapping ofresources) and smaller, less area-intensive, and hence morescalable amounts of on-chip resources [1, 20, 30]. We discussthese use cases in more detail in our technical report [71].

8. Related WorkTo our knowledge, this is the first work to propose a holistic

framework to decouple a GPU application’s resource specifi-cation from its physical on-chip resource allocation by virtu-alizing multiple on-chip resources. This enables the illusionof more resources than what physically exists to the program-mer, while the hardware resources are managed at runtimeby employing a swap space (in main memory), transparentlyto the programmer. We design a new hardware/software co-operative framework to effectively virtualize multiple on-chipGPU resources in a controlled and coordinated manner, thusenabling many benefits of virtualization in GPUs.

We briefly discuss prior work related to different aspects ofour proposal: (i) virtualization of resources, (ii) improvingprogramming ease and portability, and (iii) more efficientmanagement of on-chip resources.

Virtualization of Resources. Virtualization [13, 15, 25,29] is a concept designed to provide the illusion, to the soft-ware and programmer, of more resources than what trulyexists in physical hardware. It has been applied to the man-agement of hardware resources in many different contexts[2, 6, 13, 15, 25, 29, 54, 73], with virtual memory [15, 29]being one of the oldest forms of virtualization that is com-monly used in high-performance processors today. Abstractionof hardware resources and use of a level of indirection in theirmanagement leads to many benefits, including improved uti-lization, programmability, portability, isolation, protection,sharing, and oversubscription.

In this work, we apply the general principle of virtualizationto the management of multiple on-chip resources in modernGPUs. Virtualization of on-chip resources offers the opportu-nity to alleviate many different challenges in modern GPUs.However, in this context, effectively adding a level of indirec-tion introduces new challenges, necessitating the design ofa new virtualization strategy. There are two key challenges.First, we need to dynamically determine the extent of the vir-tualization to reach an effective tradeoff between improved

12

Page 13: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

parallelism due to oversubscription and the latency/capacityoverheads of swap space usage. Second, we need to coordinatethe virtualization of multiple latency-critical on-chip resources.To our knowledge, this is the first work to propose a holisticsoftware-hardware cooperative approach to virtualizing multi-ple on-chip resources in a controlled and coordinated mannerthat addresses these challenges, enabling the different benefitsprovided by virtualization in modern GPUs.

Prior works propose to virtualize a specific on-chip resourcefor specific benefits, mostly in the CPU context. For example,in CPUs, the concept of virtualized registers was first used inthe IBM 360 [2] and DEC PDP-10 [6] architectures to allowlogical registers to be mapped to either fast yet expensivephysical registers, or slow and cheap memory. More recentworks [54, 80, 81], propose to virtualize registers to increasethe effective register file size to much larger register counts.This increases the number of thread contexts that can be sup-ported in a multi-threaded processor [54], or reduces registerspills and fills [80, 81]. Other works propose to virtualizeon-chip resources in CPUs (e.g., [7, 12, 18, 23, 86]). In GPUs,Jeon et al. [30] propose to virtualize the register file by dynam-ically allocating and deallocating physical registers to enablemore parallelism with smaller, more power-efficient physicalregister files. Concurrent to this work, Yoon et al. [85] proposean approach to virtualize thread slots to increase thread-levelparallelism. These works propose specific virtualization mech-anisms for a single resource for specific benefits. None of theseworks provide a cohesive virtualization mechanism for mul-tiple on-chip GPU resources in a controlled and coordinatedmanner, which forms a key contribution of this work.

Enhancing Programming Ease and Portability. There isa large body of work that aims to improve programmabilityand portability of modern GPU applications using softwaretools, such as auto-tuners [14, 17, 38, 62, 63, 64], optimiz-ing compilers [10, 28, 34, 46, 82, 83], and high-level pro-gramming languages and runtimes [16, 26, 57, 69]. Thesetools tackle a multitude of optimization challenges, and havebeen demonstrated to be very effective in generating high-performance portable code. They can also be used to tunethe resource specification. However, there are several short-comings in these approaches. First, these tools often requireprofiling runs [10, 14, 63, 64, 82, 83] on the GPU to determinethe best performing resource specifications. These runs haveto be repeated for each new input set and GPU generation.Second, software-based approaches still require significantprogrammer effort to write code in a manner that can be ex-ploited by software to optimize the resource specifications.Third, selecting the best performing resource specificationsstatically using software tools is a challenging task in virtual-ized environments (e.g., clouds), where it is unclear whichkernels may be run together on the same SM or where it is notknown, apriori, which GPU generation the application mayexecute on. Finally, software tools assume a fixed amount ofavailable resources. This leads to runtime underutilization dueto static allocation of resources, which cannot be addressedby these tools.

In contrast, the programmability and portability benefitsprovided by Zorua require no programmer effort in optimizingresource specifications. Furthermore, these tools can be usedin conjunction with Zorua to further improve performance.

Efficient Resource Management. Prior works aim to im-prove parallelism by increasing resource utilization usinghardware-based [3, 4, 22, 30, 31, 32, 41, 50, 68, 77, 84]and software-based [24, 27, 39, 43, 55, 79, 84] approaches.Among these works, the closest to ours are [30, 85] (discussedearlier), [84] and [77]. These approaches propose efficienttechniques to dynamically manage a single resource, and canbe used alongwith Zorua to improve resource efficiency further.Yang et al. [84] aim to maximize utilization of the scratchpadwith software techniques, and by dynamically allocating/deal-locating scratchpad. Xiang et al. [77] propose to improveresource utilization by scheduling threads at the finer gran-ularity of a warp rather than a thread block. This approachcan help alleviate performance cliffs, but not in the presenceof synchronization or scratchpad memory, nor does it addressthe dynamic underutilization within a thread during runtime.We quantitatively compare to this approach in Section 6 anddemonstrate Zorua’s benefits over it.

Other works leverage resource underutilization to improveenergy efficiency [1, 19, 20, 21, 30] or perform other usefulwork [40, 70]. These works are complementary to Zorua.

9. ConclusionWe propose Zorua, a new framework that decouples the

application resource specification from the allocation in thephysical hardware resources (i.e., registers, scratchpad mem-ory, and thread slots) in GPUs. Zorua encompasses a holisticvirtualization strategy to effectively virtualize multiple latency-critical on-chip resources in a controlled and coordinatedmanner. We demonstrate that by providing the illusion ofmore resources than physically available, via dynamic man-agement of resources and the judicious use of a swap spacein main memory, Zorua enhances (i) programming ease (byreducing the performance penalty of suboptimal resource spec-ification), (ii) portability (by reducing the impact of differenthardware configurations), and (iii) performance for code withan optimized resource specification (by leveraging dynamicunderutilization of resources). We conclude that Zorua is aneffective, holistic virtualization framework for GPUs. We be-lieve that the indirection provided by Zorua’s virtualizationmechanism makes it a generic framework that can addressother challenges in modern GPUs. For example, Zorua canenable fine-grained resource sharing and partitioning amongmultiple kernels/applications, as well as low-latency preemp-tion of GPU programs. We hope that future work exploresthese promising directions, building on the insights and theframework developed in this paper.

AcknowledgmentsWe thank the reviewers and our shepherd for their valuable

suggestions. We thank the members of the SAFARI group fortheir feedback and the stimulating research environment theyprovide. Special thanks to Vivek Seshadri, Kathryn McKinley,Steve Keckler, Evgeny Bolotin, and Mike O’Connor for theirfeedback during various stages of this project. We acknowledgethe support of our industrial partners: Facebook, Google, IBM,Intel, Microsoft, Nvidia, Qualcomm, Samsung, and VMware.This research was partially supported by NSF (grant 1409723),the Intel Science and Technology Center for Cloud Computing,and the Semiconductor Research Corporation.

13

Page 14: Zorua: A Holistic Approach to Resource Virtualization in GPUs · GPU application from the actual allocation in the on-chip hardware resources. Zorua enables this decoupling by virtualizing

References[1] M. Abdel-Majeed et al. Warped Register File: A Power Efficient Register

File for GPGPUs. HPCA, 2013.[2] G. M. Amdahl et al. Architecture of the IBM System/360. IBM JRD,

1964.[3] R. Ausavarangnirun et al. Exploiting Inter-Warp Heterogeneity to Im-

prove GPGPU Performance. PACT, 2015.[4] R. Ausavarungnirun et al. Staged Memory Scheduling: Achieving High

Prformance and Scalability in Heterogeneous Systems. In ISCA, 2012.[5] A. Bakhoda et al. Analyzing CUDA Workloads Using a Detailed GPU

Simulator. In ISPASS, 2009.[6] C. G. Bell et al. The Evolution of the DEC System 10. CACM, 1978.[7] E. Brekelbaum et al. Hierarchical scheduling windows. MICRO, 2002.[8] M. Burtscher et al. A quantitative study of irregular programs on GPUs.

In IISWC, 2012.[9] S. Che et al. Rodinia: A Benchmark Suite for Heterogeneous Computing.

In IISWC, 2009.[10] G. Chen et al. PORPLE: An extensible optimizer for portable data

placement on GPU. In MICRO, 2014.[11] P. Chen. N-Queens solver. http://forums.nvidia.com/index.php?

showtopic=76893, 2008.[12] H. Cook et al. Virtual local stores: Enabling software-managed mem-

ory hierarchies in mainstream computing environments. Tech. Rep.UCB/EECS-2009-131, 2009.

[13] R. J. Creasy. The Origin of the VM/370 Time-sharing System. IBM JRD,1981.

[14] A. Davidson et al. Toward Techniques for Auto-Tuning GPU Algorithms.In Applied Parallel and Scientific Computing. Springer, 2010.

[15] P. J. Denning. Virtual memory. ACM Comput. Surv., 1970.[16] R. Dolbeau et al. HMPP: A hybrid multi-core parallel programming

environment. In GPGPU, 2007.[17] Y. Dotsenko et al. Auto-tuning of Fast Fourier Transform on Graphics

Processors. PPoPP, 2011.[18] M. Erez et al. Spills, fills, and kills - an architecture for reducing register-

memory traffic. Technical report, Stanford University, July 2000.[19] M. Gebhart et al. A Compile-time Managed Multi-level Register File

Hierarchy. In MICRO, 2011.[20] M. Gebhart et al. Energy-efficient Mechanisms for Managing Thread

Context in Throughput Processors. ISCA, 2011.[21] M. Gebhart et al. A hierarchical thread scheduler and register file for

energy-efficient throughput processors. TOCS, 2012.[22] M. Gebhart et al. Unifying Primary Cache, Scratch, and Register File

Memories in a Throughput Processor. In MICRO, 2012.[23] A. Gonzalez et al. Virtual-physical registers. In HPCA, 1998.[24] C. Gregg et al. Fine-grained resource sharing for concurrent GPGPU

kernels. In HotPar, 2012.[25] P. H. Gum. System/370 Extended Architecture: Facilities for Virtual

Machines. IBM JRD, 1983.[26] T. D. Han et al. hiCUDA: High-Level GPGPU Programming. TPDS, 2011.[27] A. B. Hayes et al. Unified On-chip Memory Allocation for SIMT Archi-

tecture. ICS, 2014.[28] A. H. Hormati et al. Sponge: Portable Stream Programming on Graphics

Engines. ASPLOS, 2011.[29] B. Jacob et al. Virtual memory in contemporary microprocessors. IEEE

Micro, 1998.[30] H. Jeon et al. GPU register file virtualization. In MICRO, 2015.[31] A. Jog et al. Orchestrated Scheduling and Prefetching for GPGPUs. In

ISCA, 2013.[32] A. Jog et al. OWL: Cooperative Thread Array Aware Scheduling Tech-

niques for Improving GPGPU Performance. In ASPLOS, 2013.[33] A. Jog et al. Application-aware Memory System for Fair and Efficient

Execution of Concurrent GPGPU Applications. In GPGPU, 2014.[34] J. C. Juega et al. Adaptive Mapping and Parameter Selection Scheme

to Improve Automatic Code Generation for GPUs. CGO, 2014.[35] O. Kayiran et al. Neither More Nor Less: Optimizing Thread-level

Parallelism for GPGPUs. In PACT, 2013.[36] O. Kayiran et al. Managing GPU Concurrency in Heterogeneous Archi-

tectures. MICRO, 2014.[37] O. Kayiran et al. µC-States: Fine-grained GPU Datapath Power Man-

agement. PACT, 2016.[38] M. Khan et al. A Script-based Autotuning Compiler System to Generate

High-performance CUDA Code. TAC0, 2013.[39] R. Komuravelli et al. Stash: Have your scratchpad and cache it too. In

ISCA, 2015.[40] N. B. Lakshminarayana et al. Spare register aware prefetching for graph

algorithms on GPUs. In HPCA, 2014.[41] M. Lee et al. Improving GPGPU resource utilization through alternative

thread block scheduling. In HPCA, 2014.[42] J. Leng et al. GPUWattch: Enabling Energy Optimizations in GPGPUs.

ISCA, 2013.[43] C. Li et al. Automatic data placement into GPU on-chip memory re-

sources. In CGO, 2015.[44] C. Li et al. Locality-driven dynamic GPU cache bypassing. In ICS, 2015.

[45] D. Li et al. Priority-based cache allocation in throughput processors. InHPCA, 2015.

[46] Y. Liu et al. A cross-input adaptive framework for GPU program opti-mizations. In IPDPS, 2009.

[47] J. Matela et al. Low GPU occupancy approach to fast arithmetic codingin JPEG2000. In Math. and Eng. Methods in Computer Science. 2011.

[48] R. McGill et al. Variations of box plots. The American Statistician, 1978.[49] J. Menon et al. iGPU: Exception Support and Speculative Execution on

GPUs. ISCA, 2012.[50] V. Narasiman et al. Improving GPU Performance via Large Warps and

Two-level Warp Scheduling. In MICRO, 2011.[51] Nintendo/Creatures Inc./GAME FREAK inc. Pokémon. http://www.

pokemon.com/us/.[52] NVIDIA. CUDA C/C++ SDK Code Samples, 2011.[53] NVIDIA. CUDA Dynamic Parallelism Programming Guide. 2014.[54] D. W. Oehmke et al. How to Fake 1000 Registers. MICRO, 2005.[55] S. Pai et al. Improving GPGPU concurrency with elastic kernels. In

ASPLOS, 2013.[56] J. Park et al. Chimera: Collaborative Preemption for Multitasking on a

Shared GPU. ASPLOS, 2015.[57] J. Ragan-Kelley et al. Halide: a language and compiler for optimizing

parallelism, locality, and recomputation in image processing pipelines.PLDI, 2013.

[58] T. Rogers et al. Cache-Conscious Wavefront Scheduling. MICRO, 2012.[59] S. Ryoo et al. Optimization Principles and Application Performance

Evaluation of a Multithreaded GPU Using CUDA. PPoPP, 2008.[60] S. Ryoo et al. Program optimization carving for GPU computing. JPDC,

2008.[61] S. Ryoo et al. Program Optimization Space Pruning for a Multithreaded

GPU. CGO, 2008.[62] K. Sato et al. Automatic Tuning of CUDA Execution Parameters for Stencil

Processing. 2010.[63] C. A. Schaefer et al. Atune-IL: An instrumentation language for auto-

tuning parallel applications. In Euro-Par. 2009.[64] K. Spafford et al. Maestro: data orchestration and tuning for opencl

devices. In Euro-Par. 2010.[65] J. A. Stratton et al. Algorithm and data optimization techniques for

scaling to massively threaded systems. IEEE Computer, 2012.[66] J. A. Stratton et al. Parboil: A Revised Benchmark Suite for Scientific and

Commercial Throughput Computing. UIUC Technical Report IMPACT-12-01, 2012.

[67] I. Tanasic et al. Enabling Preemptive Multiprogramming on GPUs. InISCA, 2014.

[68] D. Tarjan et al. On demand register allocation and deallocation for amultithreaded processor, 2011. US Patent 20110161616.

[69] Sain-Zee Ueng et al. CUDA-Lite: Reducing GPU Programming Complex-ity. LCPC, 2008.

[70] N. Vijaykumar et al. A Case for Core-Assisted Bottleneck Accelerationin GPUs: Enabling Flexible Data Compression with Assist Warps. InISCA, 2015.

[71] N. Vijaykumar et al. A New Framework for GPU Resource Virtualization.CMU SAFARI Technical Report No. 2016-005, 2016.

[72] O. Villa et al. Scaling the Power Wall: A Path to Exascale. In SC, 2014.[73] C. A. Waldspurger. Memory Resource Management in VMware ESX

Server. OSDI, 2002.[74] J. Wang et al. Dynamic Thread Block Launch: A Lightweight Execution

Mechanism to Support Irregular Applications on GPUs. ISCA, 2015.[75] Z.Wang et al. SimultaneousMultikernel GPU:Multi-tasking Throughput

Processors via Fine-Grained Sharing. In HPCA, 2016.[76] S. Wilton et al. CACTI: An enhanced cache access and cycle time model.

JSSC, 1996.[77] P. Xiang et al. Warp-level divergence in GPUs: Characterization, impact,

and mitigation. In HPCA, 2014.[78] X. Xie et al. Coordinated static and dynamic cache bypassing for GPUs.

In HPCA, 2015.[79] X. Xie et al. Enabling coordinated register allocation and thread-level

parallelism optimization for GPUs. In MICRO, 2015.[80] J. Yan et al. Virtual Registers: Reducing Register Pressure Without

Enlarging the Register File. HIPEAC, 2007.[81] J. Yan et al. Exploiting Virtual Registers to Reduce Pressure on Real

Registers. TACO, 2008.[82] Y. Yang et al. A GPGPU Compiler for Memory Optimization and Paral-

lelism Management. PLDI, 2010.[83] Y. Yang et al. A Unified Optimizing Compiler Framework for Different

GPGPU Architectures. TACO, 2012.[84] Y. Yang et al. Shared memory multiplexing: a novel way to improve

GPGPU throughput. In PACT, 2012.[85] M. Yoon et al. Virtual Thread: Maximizing Thread-Level Parallelism

beyond GPU Scheduling Limit. ISCA, 2016.[86] J. Zalamea et al. Two-level Hierarchical Register File Organization for

VLIW Processors. MICRO, 2000.[87] J. Zhong et al. Kernelet: High-throughput GPU kernel executions with

dynamic slicing and scheduling. TPDS, 2014.

14