advances in chemical mechanical polishing

13
SYMPOSIUM K Advances in Chemical Mechanical Polishing April 13 - 15, 2004 Chairs Duane Boning Dept of Electrical Engr & Computer Sci Massachusetts Institute of Technology 60 Vassar St. Cambridge, MA 02139 617-253-0931 Gregg Shinn Texas Instruments MS 3736 P.O. Box 650311 Dallas, TX 75265 972-995-9708 Johann W. Bartha Dept of Electrical Engr & Information Tech Dresden University of Technology Noethnitzer Str. 64 Mierdel-Bau, Dresden, D-01187 Germany 49-351-463-35292 Ingrid Voss IMEC Kapeldreef 75, B-300l Belgium 32-16-28-1891 * Invited paper Ara Philip ossian Dept of Chemical & Environmental Engr University of Arizona Room 20lC P.O. Box 210011 Tucson, AZ 85721-0011 520-621-6101 Proceedings to be published in both book form and online (see ONLINE PUBLICATIONS at www.mrs.org) as volume 816 of the Materials Research Society Symposium Proceedings Series. 207

Upload: others

Post on 22-Dec-2021

8 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Advances in Chemical Mechanical Polishing

SYMPOSIUM K

Advances in Chemical Mechanical Polishing

April 13 - 15, 2004

Chairs

Duane BoningDept of Electrical Engr & Computer SciMassachusetts Institute of Technology60 Vassar St.Cambridge, MA 02139617-253-0931

Gregg ShinnTexas InstrumentsMS 3736P.O. Box 650311Dallas, TX 75265972-995-9708

Johann W. BarthaDept of Electrical Engr & Information TechDresden University of TechnologyNoethnitzer Str. 64Mierdel-Bau, Dresden, D-01187 Germany49-351-463-35292

Ingrid VossIMECKapeldreef 75, B-300l Belgium32-16-28-1891

* Invited paper

Ara PhilipossianDept of Chemical & Environmental Engr

University of ArizonaRoom 20lC

P.O. Box 210011Tucson, AZ 85721-0011

520-621-6101

Proceedings to be published in both book form and online(see ONLINE PUBLICATIONS at www.mrs.org)

as volume 816of the Materials Research Society

Symposium Proceedings Series.

207

Page 2: Advances in Chemical Mechanical Polishing

SESSION K1: Copper CMPChair: Ingrid Vos

TUesday Morning, April 13, 2004Room 2007 (Moscone West)

8:30 AM *K1.1Challenges and Rewards of Low-abrasive Copper CMP:Evaluation and Integration for Single-Damascene Cu/Low-kInterconnects for the 90nm Node. Christopher Borst, Stan Smith

and Mona Eissa; Texas Instruments, Inc., Dallas, Texas.

Low-abrasive slurries for copper (eu) chemical-mechanicalplanarization (CMP) have been developed to perform with removalrate and removal uniformity comparable to conventional slurries.They can improve post-CMP defectivity and allow operation at lowerpolish pressures that are more compatible with the low-dielectricconstant (low-k) materials required for current and futurehigh-performance interconnect schemes. Integration of these slurriesinto a yielding product with 9-level Cu/low-k metallization requiresf~ndamental learning and process characterization. This paperdIscusses the global challenges encountered during development,IntegratIon, and qualification of a low-abrasive eu eMP process forTexas Instruments Incorporated's 90 nm technology node with 130nmminimum dimension copper/organosilicate interconnect. As abrasivecontent is reduced, the slurry chemistry must playa larger role ineMP removal. More aggressive reactive chemistry requires a moreeffective inhibitive chemistry to keep Cu static etch rate low. As aresult, Wafer-scale process and consumable interactions, die-scaleplanarization efficiency, and feature-scale removal rates each becomemore sensitive to process changes. Pressure and temperature havelarger effects on removal rate/profile than conventional slurries andcomplete clearing of Cu puddled over underlying topography b~comesmore difficult. Successful integration of these slurries however canac?ieve exce~lent ~esults in dishing and erosion topo~raphy, C~thIckness unIformIty, and Cu loss in small features such as vias andlanding pads. Low-abrasive solutions are also more stable and easy tohandle in slurry distribution vessels and lines, have lower scratch andresidue defectivity, and have greatly extended margin for overpolish.As low-abrasive Cu slurry options continue to evolve to becomemanufacturable solutions, their benefits far outweigh the costs andchallenges encountered in their successful integration.

9:00 AM K1.2Investigation of the influence of different copper slurrysystems on post CMP topography performance.Goetz Springer, Peter Thieme and Pierre Klose; Memory

Development Center, Infineon Technologies, Dresden, Germany.

Since the early days of copper CMP much research and developmenteffort was put into formulation of new copper and barrier CMPslurries. Two major motivations for this effort are the improvement ofprocess performance for currently used integration schemes and thepreparation for new integration schemes using low-k and ultra low-kdielectric materials. In this paper the influence of different copperslurries on topography before and after removal of the barrier film isinvestigated. For the tested slurries blanket wafer polish rates forcopper, tantalum and silicon dioxide will be presented. Measuredblanket wafer selectivities had a range of about a factor of ten. Thetopography performance was investigated using a standard metalCMP test structure. For each copper slurry, wafers with differentcopper and barrier CMP overpolish margins were processed. In allcases the same barrier CMP consumable set was applied. This allowedthe direct comparison of the influence of different copper CMP slurrieson copper CMP topography and post barrier CMP topography as wellas electrical parameters. It was found that the different blanket waferselectivities affect directly the erosion performance of array featuresafter copper CMP. It was also recognized that with advanced copperslurries avaJlable on the market the dishing can be reduced drastically.As a result of the post copper CMP topography investigations, adISCUSSIon of advantages and disadvantages of non-selective andselective barrier polish processes will be presented. To complete this:Iiscus.sion. of potential topography correction during barrier CMP, anInvestIgatIon of an observed pronounced dielectric erosion close tolarge metal features or within high metal density arrays with mediumor high selective barrier CMP processes will be presented.

9:15 AM K1.3Copper CMP Formulation for 65 nm Device Planarization.Gregory T Stauf, Karl Boggs, Peter Wrschka, Jeffrey F Roeder, Craig

Ragaglia, Michael Darsillo, Mackenzie King, Jun Liu and Thomas HBaum; ATMI, Danbury, Connecticut.

To achieve 65 nm technology node requirements, CMP processes mustprovide improved control of selectivity, topography, wire cross section,and process robustness. Slurries and processes must also becompatible with fragile low k materials by providing low erosion andshear forces. We will present data on a unique Step 1 bulk Cu removal

slurry with high selectivity, removal rates over 8000 A/min, andextremely low lmer removal/erosion in high (90%) density structures.ThIS IS achIeved through a combination of surface modified abrasivesand alternative inhibitors which provide superior performance andreduced electrochemical activity compared to benzotriazole, acommonly used inhibitor. The Step 1 slurry has allowed use of polishdown forces as high as 5 psi on patterned CVD deposited C-dopedoXIde low k materials without damage. This is combined with a Step 2lmer removal slurry that can be chemically tuned to adjust relativeselectivities of Ta:oxide:Cu from the nominal ratio of 2:2:1, allowingIts use wIth a variety of mtegration schemes. Results of CMPplanarization experiments on 200 mm blanket and patterned testwafers will be described, including electrical data.

9:30 AM K1.4Effect of Hydrogen Peroxide on Oxidation of Copper in CMPslurries Containing Glycine and Cu Ions. Tianbao Du ArunVijaya~umar and Vi:nal ~esai; Advanced Materials Proces~ing andAnalysIs Center, Umverslty of Central Florida, Orlando, Florida.

This study compares the oxidative dissolution, passivation andpolishing behavior of copper chemical mechanical polishin~ in thepresence of hydrogen peroxide, glycine and copper sulfate. High puritydISCS were used to study the dissolution and oxidation kinetics understatic and dynamic conditions at pH 4 with varying H202concentrations. Changes in surface chemistry of the statically etchedcopper-disc were investigated using X-ray photoelectron spectroscopy(XPS). In the presence of only H202, the copper removal rate reacheda maximum at 1% H202 concentration, and decreased with a furtherincrease in H202 concentration. The etched surface morphologymdlcates that the removal of copper is primarily the result ofelectrochemical dissolution of copper at low H202 concentrations.!Iowever, at increased H202 concentration, the copper oxidation rateIncreases, resulting in a change in the copper removal mechanism tomechanical abrasion of oxidized surface. With further addition ofglycine and copper sulfate to the slurry, the copper removal ratesincreased significantly and the maximum removal rate showed a shiftto H202 concentration of 3%. Electrochemical investigation indicatesan enhanced dissolution of copper, which might be due to the strongcatalytIc actIvIty of Cu(II)-glycme complexs in decomposing H202 toyield hydroxyl radicals.

9:45 AM K1.5Experimental and Numerical Analysis of Novel Slurry forCopper CMP. yun zhuang', Yoshitomo Shimazu 2

, Leonard

Borucki 3, Zhonglin Li', Nobuo Uotani 2 and Ara Philipossian'·

IDep~rtment of Chemical and Environmental Engineering, Universityof ArIzona, Tucson, Arizona; 2Showa Denko K.K., Shiojiri, Nagano,Japan; 3Intelligent Planar, Mesa, Arizona.

A novel slurry containing a proprietary inhibitor was analyzed interms of its frictional, tribological, thermal and removal rateattributes for copper CMP applications. Experiments were performedon a scaled polisher using an IC-1000 k-groove pad (no sub-pad) andblanket PVD-deposited copper wafers. Slurry flow rate wasmaintained at 80 cc/min. Wafer pressure (p) and relative pad-wafervelocity (U) ranged from 2.0 to 2.5 PSI, and 0.31 to 1.09 mis,respectIvely. An IR camera was used to record the pad surfacetemperature along the leading edge of the wafer during the CMPprocess. Stribeck curve analysis showed the coefficient of friction(COF) to be relatively constant (0.31 to 0.36) as a function ofSommerfeld number, indicating the dominant tribological mechanismto be that of 'boundary lubrication'. Analysis of removal rate atvarious values of p*U showed highly non-Prestonian behavior withthre: distinct regions as follows: An 'initial region' (values of p*Urangmg from 4,500 to 11,000 Pa-m/s), where removal rate increasedmonotonically from 90 to 350 A/min. An 'intermediate region' (valuesof p*U ranging from 11,000 to 15,000 Pa-m/s) where removal rateincreased sharply from 350 to approximately 4,000 A/min. And a'final region' (values of p*U ranging from 15,000 to 20,000 Pa-m/s),where removal rate mcreased gradually from 4,000 to 4,700 A/min.The mherent non-Prestonian behavior of the slurry was believed to bedue to the presence of the proprietary inhibitor in the formulation.During polishing, the oxidant in the slurry was believed to form asoftened layer on the copper surface that was protected by theinhibitor. In the 'initial region', removal rate was determined by thespeed with which the inhibitor layer was removed, while in the'intermediate region' and the 'final region' the inhibitor layer wouldrapidly abrade off, thus leading to a significant increase in removalrate. Based on the measured pad temperature data a thermal modelpreviously developed for the heat exchange betwee~ the copper wafer,the pad and the slurry was used to predict the wafer temperatureduring the process. The removal rate was then calculated in the'initial region' and 'final region' using a Langmuir-Hinshelwoodkinetic model with great success (R-squared values greater than 0.95in both regions). At low values of p*U, the calculated chemical rateconstant was significantly larger than the mechanical rate constant,

208

Page 3: Advances in Chemical Mechanical Polishing

indicating the removal of the inhibited layer was mechanicallycontrolled. In contrast, at high values of p*U, the chemical rateconstant was significantly smaller than the mechanical rate constant,indicating that copper removal was chemically limited after theinhibited layer was abraded off the surface.

10:30 AM K1.6Invesitigations on Novel modified fumed abrasives from thesystem Si02 and Al203 for Cu CMP applications. DieterZeidler', Johann W. Bartha', Wolfgang Lortz 2 and Ralph Brandes3 ;

lInst. for Semiconductor- and Microsystems Technology, DresdenUniversity, Dresden, SN, Germany; 2Res. & Dev., Degussa AG,Hanau, HS, Germany; 3Global CMP Application, Degussa Corp.,Piscataway, New Jersey.

Due to the increasing demands in lithography, high endmicroelectronic processes require a constantly growing degree ofglobal planarization. This fact in combination with an extension ofthe multitude of materials to be processed, created a demand forpolishing slurries designed to meet very specific properties withrespect to removal rate, selectivity and surface quality. Today fumedand colloidal silica as well as alumina are among the abrasives usedfor metal polishing. In this study novel fumed particles from thesystem silica / alumina have been studied. Significant differences inthe removal rate as well as the quality of the polished surface havebeen observed for the polishing of Cu, TaN and Si02 when applyingdifferent Al203 or Si02 abrasives or mixtures thereof in slurries withidentical chemical environment. When using the same abrasiveconcentration with similar particle size distribution and identicalchemistry in the slurry, the differences in the polishing behavior haveto be related to the hardness, young modulus and surface chargetransfer. The most important nanoscale interactions for the CMPprocess are the fast formation of a thin surface layer and themechanical abrasion of this surface film. By scanning several newlydesigned abrasives used in slurries with identical chemistry it was triedto assign the particle properties to the polishing behavior with respectto removal rate, planarization behavior, selectivity and roughness. Inorder to study the interaction between particles and the surface layerwe investigated the Cu CMP process, utilizing a chemistry consistingof phthalate or glycine as complexing agent and H202 as oxidizer.Some of the fumed abrasives, based on Si02 or Al203 have also beenmodified by incorporating different doping atoms. By this amodification of the mechanical properties and the particle surfacecharge or surface reactivity was obtained. The polishing of Cu/TaNlayers revealed a significant change of the RR, the planarizationbehavior and the selectivity of Cu vs. TaN and TaN vs. Si02. Besidesimprovements in the polishing process itself for the Cu/TaN system,the investigations with modified abrasives enable a deeper insight intothe interaction mechanism between the abrasives and the surfacelayer. Due to the possibility to specifically design abrasives, it mightbe possible to tailor the particles to generate a low stress polishing,which could be useful for applications such as low-k materials.

10:45 AM K1.7Novel Organic Abrasive Particles for Copper CMP at LowDown Force. Krishnayya Cheemalapati', Atanu Roy Chowdhury',Yuzhuo Li 1, K wok Tang2 and Guomin Bian2; 1 Chemistry, Clarkson

University, Potsdam, New York; 2Dynea, Mississauga, Ontario,Canada.

With the integration of copper as interconnect and low k materials asdielectric, the CMP community is facing an ever increasing demandon reducing defectivity without scarifying production throughput.One such strategy is to lower the polishing pressure from conventional3-5 psi to below 1 psi. Such a move has placed tremendous challengesto the tool manufactures, consumable suppliers (especially the slurryvendors), and end-users. It is a challenge to remain the highthroughput (MRR and selectivity) at low down force without usingharsh abrasives. In this study a set of pure organic particles withunique bulk and surface properties are investigated for their potentialapplications in metal CMP. Unlike conventional abrasive particlessuch silica or alumina, these unique particles are designed tospecifically interact with the metal surface to be polished andsignificantly modify the rheological behavior of the slurry. Theobvious advantage of using such particles is the reduction of defectsduring CMP. The consequence of using such particles is also its abilityto provide unsurpassed high selectivity in removal rate for copper overbarrier and dielectric materials due to their weak interaction withthese surfaces. The added benefit for slurry that uses such particles isto allow CMP process conducted at a lower down force withoutcompromising the throughput. In this presentation, the physical andchemical characteristics of these unique organic particles will bepresented first. Blanket and patterned wafer polishing results usingslurries formulated based on these unique particles will be introduced.The potential advantages of such slurry formulation over slurries thatare prepared using conventional abrasive particles will be illustratedwith comparative results. Finally the potential role of these particles

in the copper low k integration scheme will be examined.

11:00 AM K1.8N anoscale Investigation of Mech~nical and Chemi{al EffectsDuring Copper CMP. Su-Ho Jung , Seung-Mahn Lee and RaJlv

Singh2 ; IMaterials Science and Engineering, University of Florida,Gainesville, Florida; 2Microelectronics Research Center, University ofTexas, Austin, Texas.

Chemical mechanical polishing (CMP) of Copper is typically achievedby the synergistic interaction of chemical and mechanical effects. Theformation and removal of a chemically modified surface film controlsthis CMP process. There have been very few studies on thecharacterization of nano-scale effects during the metal polishing. Inthis study we have conducted a detailed investigation of the surfacelayer effects during the CMP process. The dynamics of the surfacelayer formation was determined using transient electrochemicalmeasurements, while the mechanical properties of the surface layerwere determined using nano-mechanical measurements. The effect ofthe chemical additives (hydrogen peroxide, benzotriazole, citric acid,and pH) on the mechanical properties of the nano-scale layer wasdelineated. In order to resolve the synergistic mechanical aspects, theparticle size and its concentration were varied during the polishingprocess. A model incorporating effects of the nano-scale layerformation and its subsequent removal with respect to particle sizeeffects is also proposed.

11:15 AM K1.9Reactivity and Removal Mechanism of Copper with VariousOrganic Additives in Copper CMP Slurry. Dae-Hong Eom,

Young-Jae Kang, Ja-Hyung Han and Jin-Goo Park; Metallurgy andMaterials Engineering, Hanyang University, Ansan, South Korea.

The Cu CMP process is tend to apply low pressure and high rpm dueto the delamination of Cu/low-k films. The role of organic passivationfilm grown on Cu surface becomes important to understand the CMPperformance in Cu slurry. Cu removal rate during CMP process isstrongly dependent on the growth of passivation film. Cu surfacegenerally reacts with organic complexing agent in slurry and grows apassivation layer. However, the growth mechanism of passivation filmin various organic complexing solutions is poorly understood. In thisstudy, reactivity of Cu with various organic additives is evaluated andchemical and mechanical properties of passivation layer arecharacterized by electrochemical polisher combined with EG&Gpotentiostat and XPS (x-ray photo-electron spectroscopy). Organicacids, bi-carboxylic acids, oxalic acid., malonic acid and maleic acid.with different C-H chain, as complexmg agents and hydrogen peroxIde(H202) as oxidant are used for the formation of passivating film.In-situ electrochemical analysis of Cu in various slurries is performedusing electrochemical polisher. Also, etch and polish rates areevaluated with different composition of slurry as functions of processparameters such as pressure and rpm.

11:30 AM K1.10Experimental Investigation of Surface Properties of Particlesand Their Effects on Cu CMP. Joe J. Zha0 2

, Yuzhuo Li 2, Helen

G. Xu' and Hong Liang'; 'Mechanical Engineering, University ofAlaska Fairbanks, Fairbanks, Alaska; 2 Chemistry, ClarksonUniversity, Postdam, New York.

The surface properties of nanoabrasive particles have profoundinfluence on CMP performance. In this study, we investigated thecorrelation between surface properties and the frictional behavior ofsome silica particles under various oxidizing conditions. Morespecifically, the friction and wear of copper surface against apolyurethane pad have been measured in the presence of slurries thatcontained these abrasive particles and were formulated for Cu CMP.The surface qualities and wear mechanisms were then investigatedunder the scanning electron microscope. The experimental resultsindicated that the frictional force is not only a function of the particlesurface property but also a function of the extent of copper surfacemodification. In this presentation, experimental design and resultswill be first presented. The implication of this study to the design ofcopper CMP slurry will also be discussed.

11:45 AM Kl.11Ultra Low Pressure Copper CMP Using Particle BasedNon-Prestonian Slurries. Rajiv Singh', Kyo-Se Choi 2 and Deepika

Singh2 ; IMicroelectronics Research Center, University of Texas,Austin, Texas; 2Sinmat Inc., Gainesville, Florida.

The CMP requirements for next generation copper/low K dielectricspresents several challenges such as reduction of stresses, defectivityand surface topography during polishing while at the same timereducing the process complexity. Efforts are underway to reduce theoperating CMP pressures to below 1 psi, while at the same time,

209

Page 4: Advances in Chemical Mechanical Polishing

maintain high polishing rates and surface planarity. The standardslurries used in the industry for polishing of copper/low K dielectricsare typically Prestonian in nature, i.e. the polishing rate increaseslinearly with applied pressure. The increase in removal with appliedpressure has been primarily attributed to increased pad-wafer contactarea with increasing pressure. To increase the polishing rates at lowerpressure, more aggressive etching agents need to be added in thepolishing slurries. This leads to high static removal rates and highremoval rates at lower pressures « 0.5 psi). However, to maintainhigh planarity, the polishing rates at low pressures « 0.5 psi) shouldbe kept to a minimum value. Thus the Prestonian slurries areexpected to show some limitations in terms of achieving optimumperformance for low stress polishing. In contrast, non-Prestonianslurries, or slurries which exhibit non-linear polishing characteristicsmay be better suited for low pressure polishing of copper/low kdielectrics. The non-Prestonian behavior has been attributed to theirunique removal mechanism. A threshold pressure for removal of thesurface modified layer exists in these systems. Below the thresholdpressure, the CMP process cannot remove the surface layer. Above thethreshold pressure value, substantial removal of the surface layer takesplace, leading to rapid polishing. Due to this reason it is possible toachieve high planarity and high removal rates under reduced pressurepolishing conditions « 1 psi). Thus the non-Prestonian slurries areexpected to be more suitable for polishing of copper/low K dielectricsin low stress environments. This talk will focus on the use ofnon-Prestonian slurries for copper polishing. The mechanism ofmaterial removal as well as the stress developed during the processwill be discussed in detail.

SESSION K2: Metal CMP ModelingChair: David Stein

Tuesday Afternoon, April 13, 2004Room 2007 (Moscone West)

1:30 PM *K2.1The Mechanism of Metal Chemical-Mechanical-Polishing(CMP) Revisited. Frank B Kaufman, Cabot MicroelectronicsCompany, Aurora, Illinois.

The metal CMP dynamic repassivation mechanism published at thedawn of the CMP era has played a critical role as a key referencetemplate for describing the mechanism of that new processtechnology. In this invited talk we will review the current status ofthis mechanistic picture gathered from recent literature publications.Then we will present new CMP polish and in-process sensor data, andmodeling results, obtained on both simple Cu CMP chemistrysystems, and actual slurries, which will shed further light on criticalaspects of the operative mechanism.

2:00 PM K2.2

A Model of Cu-CMP. Ed Paul', Vlasta Brusic2 , Fred Sun2 , JianZhang2, Robert Vacassy2 and Frank Kaufman 2 ; 'Stockton College,Pomona, New Jersey; 2Cabot Microelectronics, Aurora, Illinois.

A model of copper CMP, based on a previously successful model oftungsten CMP, has been developed. The model predicts the polishingrate as a function of oxidizer concentration and of the polishingpressure and speed. Experimental data will illustrate how the modelcan be used to interpret trends in the polishing rate as these variableschange.

2:15 PM K2.3

Dishing and Erosion Studies of Cu-CMP. Inho Yoon', Sum

Huan Ng2, Andres Osorn02 , Daniel Osorn02 and Steven Danyluk2 ;'The School of Material Science and Engineering, Georgia Institute ofTechnology, Atlanta, Georgia; 2The George W. Woodruff School ofMechanical Engineering, Georgia Institute of Technology, Atlanta,Georgia.

It is well known that the polishing of electrodeposited copper in viasrepresents a considerable challenge in the production of smooth, flatsurfaces. The copper is subject to dishing and erosion, and scale theextent of which is related to the geometry and scale of the vias. Wehave studied the erosion and dishing of electro-deposited copper onspecially designed patterned, (100) p-type silicon wafers. The patternshad structures with varying line-width and density. Dishing anderosion were measured as a function of the pattern geometry, locationon the wafer and polishing conditions. The polishing was done on amodified laboratory-scale Struers polisher where the normal load,velocity and slurry delivery could be varied. The measured dishingand erosion were then compared to a model that included thesubambient pressures at the silicon / pad interface.

2:30 PM K2.4Coherent Chip-Scale Modeling for Copper CMP Pattern

Dependence. Hong Cai l, Tae Park l

, Duane Boning l, Hyungjun

Kim 2 , Youngsoo Kang2, Sibum Kim 2 and Jeong-Gun Lee2;'Microsystems Technology Laboratories, MIT, Cambridge,Massachusetts; 2Hynix Semiconductor, Cheongju, Chungbuk, SouthKorea.

With shrinking of interconnect dimensions (both vertical and lateral)and improvements in Chemical-Mechanical Polish (CMP) processes,our previously reported copper CMP pattern dependence model,developed at the quarter micron technology node, faces accuracylimits in predicting dishing and erosion for advanced technologies.The latest CMP data shows that the model prediction errors arecomparable to the reduced topographic variation, indicating that theprevious model needs an improvement in accuracy. In this research,we present an improved and coherent model framework for copperbulk polishing, copper over-polishing, and barrier layer polishing. Theintegration of contact wear model and step-height model is moreseamlessly implemented and clears inherent shortcomings in theprevious model. In the new model, a local density instead of theeffective density computed by using a planarization length is used,and only a contact wear coefficient characterizes the planarizationcapability which avoids the conflict between the planarization lengthand the contact wear coefficient. Another advantage of the new modelis that since we are using a similar model framework for differentpolishing steps, it is possible to directly compare basic processcharacteristics, such as pad stiffness, of different polishing steps.Results with the new model show a significant improvement of themodeling accuracy to better than 100 Angstroms of root-mean squareerror. Furthermore, an added benefit of using the coherent model isthat it can be more easily adapted to the modeling of multi-levelmetallization processes when combined with electroplating anddielectric deposition pattern dependence models.

SESSION K3: Planarization EquipmentChair: Ara Philip ossian

Tuesday Afternoon, April 13, 2004Room 2007 (Moscone West)

3:15 PM *K3.1Polish profile control using magnetic control head.Manabu Tsujimura, Executive officer, Ebara Corporation, Fujisawa,

Kanagawa, Japan.

Magnetic bearing technology is widely used in various technical fields,and is particularly appreciated in the semiconductor industry, whereit is applied to the turbo molecular pump and wafer transfer systemdue to the excellent controllability it provides, even at speeds as highas 50,000 rpm. This report discusses the adoption of magnetic bearingtechnology to control the wafer carrier tilt angle during polishing.When the wafer is polished on a viscoelastic pad, the wafer carrier isinclined and pressed into the pad. Therefore, the reaction force on thewafer from the pad is concentrated at the wafer edge, increasing thepolish rate at the edge relative to the rest of the wafer. Modificationof the tilt angle is thought to offer a possible means of normalizingthe distribution of the reaction force from the pad and correcting thenon-uniformity of the polish rate. The wafer carrier was confirmed topress slightly into the pad and to be tilted 18 x 10-5 rad by theviscoelasticity of the pad (without tilt angle control). Constantcurrent control led to better control of the polish rate profile relativeto feedback control. The polish rate in the outer area of the wafer wasincreased by tilting the carrier to the positive side, and the polish rateof the wafer center area could be reduced by tilting it to the negativeside. The best profile was obtained with control current of 1m = -0.4A. When the wafer carrier was tilted in the reverse direction, thepolish rate became almost zero. It was concluded that hydro-planingoccurred between the wafer and the pad. Accordingly, this reportdemonstrates the possibility of improving the polish rate profile bymaintaining the wafer carrier in a horizontal position using magneticcontrol.

3:45 PM K3.2Adaptive Piezo-Controlled Carrier for CMP Processing.Johann W. Bartha2 , Christian-Toralf Weber', Dieter Zeidler2 and

Juergen Weiser'; 'IGAM mbH, Barleben/Magdeburg, Germany;2IHM, TU Dresden, Dresden, Germany.

The chemical mechanical planarization is a wide-spread procedure forthe production of semiconductors, however it is increasingly applicablewith the production of MEMS as well as optical systems. With eversmaller structures increasingly higher demands are made on theaccuracy of the planarization processes, i.e. an as evenly as possibleremoval rate (RR) with a low non-uniformity (WIWNU). Particularlywith 12" wafers there arise non-uniformities of the removal profile notonly in the boundary region, but also within the entire wafer surface.So far it was tried to adjust the special retaining rings, back pressure

210

Page 5: Advances in Chemical Mechanical Polishing

as well as global convex or concave deformation of the carrier toobtain an even removal profile. The IGAM engineering company forapplied mechanics Ltd. developed a piezo driven active carrier withindividually controllable rings with an integrated (no collector ring)control. The dimensioning of the carrier took place on the basis ofcontact analyses with finite elements, whereby the pressuredistribution between wafer and polishing pad was computed. Due tothe high stiffness of the piezo elements the profile of the carrier andthus the pressure distribution in the polishing area can be adjustedover the entire wafer surface with a high accuracy independently ofdownforce. The IHM at the University of Dresden accomplishedpolishing attempts for the characterization of the removal behavior(Si02 process) using a 6" variant of the system on a laboratory CMPmachine Mecapol E460 manufactured by Presi. The pressuredistribution of the controlled carrier measured before polishing withTekscan flexible pressure sensor arrays between polishing pad andwafer is identical to the reached removal profile. Although only threepiezo actuators acting on each ring, the results show a very even,rotationally symmetric distribution of the pressure and removal profilein circumferential direction on the wafer. The WIWNU could beimproved by purposeful control of the rings in one correction steparound 50%. The aim of further developments is the local (notrotationally symmetric) influence of the removal profile as well as theintegration of sensor technology including the control of the process.

4:00 PM K3.3Metrological Assessment of the Coefficient of Friction ofVarious Types of Silica Using the Motor Current DuringILD-CMP. Harald Jacobsen', Eric Stachowiak', Gerfried Zwicker',

Wolfgang Lortz 2 and Ralph Brandes3; 'Fraunhofer ISIT, Itzehoe,

Germany; 2Degussa AG, Hanau, Germany; 3Degussa Corporation,Piscataway, New Jersey.

For various CMP applications a large number of slurries are availableon the market using abrasives, which for ILD polishing are typicallyeither based on colloidal silica or fumed silica. Both types of slurriesshow a different behaviour for material removal, which can bedescribed by Prestons Law and modifications thereof. In all equationsto describe removal rate a coefficient C p is used to describe thedifferences in polishing behaviour deriving from variables such as theapplied pad or slurry characteristics as well as the coefficient offriction (COF) between wafer, slurry and pad. In the work presentedthe COF was for the first time determined metrologically for 8"PECVD-oxid blanket wafers using a CMP tool (Peter Wolters SurfaceTechnology, PM 200) meeting the standards of production of a fab bya systematic assessment of motor currents for different products ofpressure and velocity (p x v). Measuring the motor current allows aconclusion to the torsional moment M and the applied energy E,respectively which are required for the actuation of the polishingequipment (E La ,,) and the actual CMP process (EcMP ). Based onthe total energy (ETa'a') the energy EcMP can be deduced bydetermination of the energy loss ELos s (E CMP = ETotal - ELos s ).

The energy ETotal was determined during the production process,whereas a nearly frictionless polishing process has determined theenergy loss with the system steel on ice. The COF can be evaluatedfrom E c M p. Five test slurries based on fumed silica as the abrasivewith BET surface areas from 50 m 2 /g to 300 m 2 /g were investigatedin ILD polishing. These test slurries were provided by Degussa andwere dispersed by a novel high-shear milling method (wet jet mill).Two commercial standard slurries (one with colloidal silica as anabrasive and one with fumed silica) were included in the investigationfor reference. With all test slurries it could be shown that the insertedenergy E c M P is proportional to the product of pressure and velocity(p x v). The COF of the parameter domain considered here does notdepend from the inserted energy or the product of p x v in a firstapproximation. For all tested slurries it was demonstrated that theCOF and the removal rate (RR) behave analogously (low COF -+ lowRR). Using the expression for the friction force F f and considering afull wafer contact on the pad allows the calculation of the shear rate f.By measuring the viscosity TJ with a high-pressure capillary viscometerthe dependency of the viscosity over the shear rate 1) = f (r) can beobtained. These measurements have shown that TJ is not a constant inthe shear rate range relevant for CMP. Using the obtained viscosityvalues a maximum slurry film thickness in the range of 90 f.'m - 145f.'m could be calculated. The above investigations demonstrated thatthe coefficient of friction (COF) and the viscosity 1) in CMP have tobe both considered in an equation describing removal rate.

4:15 PM K3.4Impact of Various Oxidizing Species on Copper CMP using aControlled Atmosphere Polishing System. Darren DeNardis',Toshiro Doi 1 ,2, Koichiro Ichikawa3 and Ara Philipossian 1

; lChemicaland Environmental Engineering, University of Arizona, Tucson,Arizona; 2S a itama University, Saitama, Japan; 3Fujikoshi MachineryCorporation, Nagano, Japan.

A new Controlled Atmosphere Polisher (CAP) manufactured by

Fujikoshi Machinery Corporation is used for CMP of coppersubstrates. The novel tool features a pressure-resistant chamber thathermetically contains the entire processing unit. The machine allowschamber gases to be rapidly changed during polishing. A vacuumpump or a compressor is used to maintain chamber pressure (undervacuum or pressurized conditions) at a desired set point. Theobjective of this research is to characterize the mechanism of copperCMP by gaining further insight into the role of various oxidizingspecies that affect the formation of intermediate copper complexlayers crucial for subsequent abrasion. This is achieved by utilizingvarious high-purity gases such as oxygen, air and nitrogen duringpolish and by modulating their concentration in the slurry bycontrolling the chamber pressure. Moreover, the presence or absenceof oxidants such as hydrogen peroxide, in conjunction with the abovechamber conditions, is expected to shed further light into the complexinterplay of various processing parameters on copper removal. In thisstudy, the CAP was used to polish 100-mm copper discs on RodelIC-1400 k-grooved pads. In all cases, Fujimi's PL-7102 copper slurry,flowing at 80 cc/min, was used. Wafer pressures and relativepad-wafer velocities ranged from 1.5 to 3.2 PSI, and from 0.26 to 0.52mis, respectively. First, the effect of chamber pressure was quantifiedby polishing at 1 and 5 ATM under ultra-pure air conditions. In thepresence of hydrogen peroxide, chamber pressure had no effect oncopper removal rate. At a chamber pressure of 5 ATM, as long as theslurry contained hydrogen peroxide, the type of gas used (i.e. air,nitrogen or oxygen) had no effect on copper removal. This suggestedthat the concentration of dissolved gaseous species in the slurry (inaccordance with Henry's Law) were insignificant compared to theconcentration of oxidizing species associated with hydrogen peroxide.The feasibility of oxidizing the copper surface (in the absence ofhydrogen peroxide) using dissolved gaseous species was investigated bycomparing the effect of oxygen and nitrogen chamber gases at 5 ATM.Copper removal under oxygen was considerably lower in the absenceof hydrogen peroxide compared to cases where hydrogen peroxide wasused. However, removal rate was higher by a factor of 4 when oxygenwas used instead of nitrogen (in the absence of hydrogen peroxide).This suggests that external sources of oxygen (especially ones withlower values of Henry's constant) have the potential of reducing, oreven eliminating, the need for hydrogen peroxide for copper polish.

4:30 PM K3.5Electropolishing of Copper with High Planarization Efficiencyand Low Surface Defect. Sue-Hong Liu', Chih Chen', Jia-Min

Shieh 2 and Bau-Tong Dai 2; 'Department of Materials Science and

Engineering, National Chiao Tung University, Hsinchu, Taiwan;2National Nano Device Laboratories, Hsinchu, Taiwan.

Planarization of copper is generally recognized as the crucial concernwith damascene metallization schemes. Conventional Chemicalmechanical planarization (CMP) of copper contains mechanicallystress on copper films and hard abrasives that may cause damagedand scratched surfaces on copper. Copper electropolishing (EP)technology could be used as replaced methods for first-step CMPprocess because EP offers potential advantages such as a lower wastestream, stress-free to the copper and dielectric films, and reducedparticle on copper surface. In this study, a clean and scratch-freesurface was obtained after electropolishing by using phosphoric acidwith various organic addtives as the electrolyte. Excellentplanarization efficiency (PE) up to 96% can be obtained on highstep-height copper damascenes by the mass-transport-controlleddissolution of copper at the anode under constant voltage condition.This may be due to that the diffusivities of H+ became more differentbetween outside and inside the trenches in electrolytes when theorganic additives presented. Furthermore, with appropriate ratio ofaddtives and phosphoric acid, significant reduction in density ofetching pits on polished copper surface was realized. All the additivesthat can reduce etching pits and yield high planarization efficiencyduring EP were found to have oxyhydrogen function groups, and theywere also effective in a wide range of concentration. X-rayphotoelectron spectroscopy, potentiodynamic (PD) polarization, andelectrochemical impedence spectroscopy were also performed toinvestigate the roles of these additives during polishing. This resultswill be presented in detailed in the conference.

SESSION K4: Poster SessionTuesday Evening, April 13, 2004

8:00 PMSalons 8-9 (Marriott)

K4.1A Study on CMP Scratch Defects with Atomic ForceMicroscope. Guanghui Fu, Lam Research Corporation, Fremont,

California.

Chemical Mechanical Polishing (CMP) has become a mainstream

211

Page 6: Advances in Chemical Mechanical Polishing

process method in submicron Integrated Circuit (IC) manufacturing.With this technology, surface roughness of a polished wafer isgenerally below one nanometer. However, CMP processes willgenerate scratches on the wafer surface. Those scratches are killerdefects because they may distort the pattern, lead to bridges at themetallization step and create chip reliability problem. Scratch controlbecomes more and more important as the IC industry works towardsmaller feature size. In this paper, we use Atomic Force Microscope(AFM) to study the scratches of polished wafers. The experimentswere performed on patterned Cu wafers, which were processed withTeres CMP system from Lam Research Corporation. The scratchdefects were found by AIT, a dark-field pattern wafer defectinspection tool from KLA-Tencor. The tool's optical microscope wasused to review the defects. The defect coordinate information fromAIT was used to locate defects in AFM measurements. The papershows the AFM measurements of a razor scratch on a lOOum byIOOum bond pad structure. We find the scratch depth changes rapidlyalong the scratching direction. This is explained by the experimentalresults on the nanoindentation of polycrystalline Cu thin film.Indentation load-displacement curves for Cu thin films show a numberof discrete bursts in the penetration depth, and each burst happens atalmost a constant load. This phenomenon is explained as a result ofdislocation burst.

K4.2A Study on the Self-Stopping CMP Process for thePlanarization of the High Step Height(@step height> 1.5um)Pattern. Kwang Bok Kim, Ki-Huon Jang, Hyo-Jin Lee, Joung-Duk

Ko, Kyung-Hyun Kim, In-Seac Hwang, Yong-Sun Ko andChang-Lyong Song; Semicondouctor R&D Center, SamsungElectronics Co Ltd., Youngin-City,Kyunggi-Do, South Korea.

CMP(Chemical Mechanical Planarization) process is widely used toreduce step height in semiconductor fabrication processes. As a designrule shrinks, a highly planar surface becomes inevitable within waferscales. In order to get a high degree of a planarization, self-stoppingcharacteristics of a ceria-based slurry should be studied and used insemiconductor process. In this study, threshold polishing pressure fora self-stopping characteristics was obtained by optimizing downpressure, pad conditioning, and mixing ratio of ceria abrasive andadditive. A series of experiments were made to optimize the thresholdpolishing pressure in variable line & space patterns that consist ofO.Sum step height and unit oxide film. As a result, self-stopping cmpprocess is twice batter than conventional silica-based process withrespect to planarity and WIWNU. In addition, WIWNU and stepheight was dramatically decreased to less than IOOOA when applyingto real fabrication devices over 2um step height.

K4.3A Kinematical Study on Chemical Mechanical Polishing(CMP). Guanghui Fu, Lam Research Corporation, Fremont,California.

Most kinematical studies on Chemical Mechanical Polishing (CMP)are focused on the spatial distribution of relative velocity on the wafersurface. Under typical CMP conditions, this velocity spatial variationis small and is generally neglected. In this paper, we investigateanother aspect of CMP kinematics: the trajectory on the wafersurface by an arbitrary fixed point on the pad surface. Both rotarytool and linear tool are considered in this study. The trajectory studyis important in many ways. For example, it helps to pinpoint thescratch source. Wafer map with clear scratch patterns can be analyzedwith this technique to locate the scratch source. Slurry distribution isan important factor on the Within Wafer Nonuniformity (WIWNU).Trajectory study is a rough estimation on how the slurry passes thewafer surface. From the simulation, different points on the pad polishthe wafer in different ways. A certain point on the pad polishes anypart of the wafer surface while other points polish only some parts ofthe wafer surface. Pad wear is related to the removal rate drop andpolishing profile drift. Trajectory study can indicate the extent of padwear at different pad locations. Insight from such study will help toimprove the conditioning kinematics design and facilitate theconditioning recipe generation.

K4.4Modeling of Pressure Non-uniformity at a Die Scale for ILDCMP. Jihong Choi and David Dornfeld; Mechanical Engineering,University of California at Berkeley, Berkeley, California.

The non-uniformity of film thickness at a die scale, after the ILDCMP process, is mainly attributed to non-uniform pressuredistribution across patterns on a die. Therefore, measurement of thepressure on each pattern is the key to a study on pattern dependentCMP performance at a die scale. However, in-situ measurement forthe pressure, especially at a die scale, is limited experimentally. Inthis study, as an alternative to the direct measurement, a finiteelement modeling (FEM) technique is employed to estimate pressure

distribution at a die scale. Based on the assumption that the timedependent visco-elastic behavior of a polishing pad, consisting ofpores, walls, and grooves, can be modeled on equivalent staticmaterial properties with the help of published data, simplifiedtwo-dimensional static FEM analysis was conducted on two differenttest patterns to evaluate the pattern dependent pressure distributionat a die scale. The pressure distribution calculated from the FEManalysis is compared with the effective pattern density in thepattern-density- based oxide CMP model. Since the effective patterndensity on a test pattern depends on the selected weighting functionand its characterization length, three different functions ­square-shaped step weighting function, circle-shaped step weightingfunction, and elliptic integral weighting function- are used, and thecharacterization length of each function is set to give the best matchbetween the pressure distribution and the effective pattern density.This FEM analysis was further taken to evaluate the effects ofthickness and material properties of each layer on the degree ofpattern dependant pressure non-uniformity at a die scale for a typicalpolishing pad made up of a hard and a soft layer. The analysis showsthat the degree of pressure non-uniformity at a die scale increaseswith the combination of a stiff hard layer and a thick soft layer, whileit decreases with the combination of a stiff soft layer and a thick hardlayer.

K4.5The Effect of Abrasive of Cu-CMP on Grobal Planarization.Yutaka Nomura, Yasuo Kamigata, Hiroshi Ono, Hiroki Terazaki andMasato Yoshida; Hitachi Chemical Co., Ltd., Hitachi city, Ibaraki,Japan.

Relationship between abrasive properties and Cu-CMP performancewere investigated, in order to design a high planarity performanceCu-CMP slurry. One of the most effective properties for the globalplanarization was found to (potential in Cu-CMP slurry. (potentialvalue of both abrasive and Cu-Complex layer on Cu metal greatlyaffected the global planarization. At the conference, mechanism ofimproving the planarity will be discussed in detail.

K4.6Evaluation of the Tribological Properties and Wear Rate ofDifferent Semiconductor Grade Plastic Materials for ContactRetaining Ring Application. William G Easter5 , ParshuramBalkrishna Zantye

,,2, Arun Kumar Sikder2 and Ashok Kumar

,,2;

'Department of Mechanical Engineering, University of South Florida,Tampa, Florida; 2Nanomaterials and Nanomanufacturing ResearchCenter, University of South Florida, Tampa, Florida; 3Department ofElectrical Engineering, University of South Florida, Tampa, Florida;4Department of Chemistry, University of South Florida, Tampa,Florida; 5Semplastic Inc., Daytona Beach, Florida.

In order to exploit the avenues available in the presently growingCMP consumable market, considerable amount of research is beingcarried out in the areas of the polishing pads and slurries. With theincreasing implementation of the contact retaining ring in the wafercarrier head, the tribological properties and wear rate of the differentsemiconductor grade plastic candidate retaining ring materials need tobe investigated. In this research, 1 inch coupons of commerciallyavailable semiconductor grade plastics namely EPPS, PPS and PEEKwere polished in fumed silica, alumina and ceria slurry using theCETR CP-4 bench top CMP machine. A comparitive study wasperformed on the Acoustic Emission (AE) and Coefficient of Friction(COF) data obtained during each polishing run. The wear rate foreach polishing run was calculated there by giving an estimate of thelife time of the contact retaining ring made of the given material. Inorder to gauge the effect of the contact retaining ring surface on thepolisihing pad, Atomic Force Microscopy (AFM) was performed onthe I inch coupons after a polishing run in each type of slurry.

K4.7Investigation of the Mechanical Integrity and its Impact onPolishing for a Novel Polyurethane Pad.Parshuram Balkrishna Zantye

,,2, Arun Sikder2

, Raghu

Mudhivarthi,,2, Sadiya Hasan

,,2, Shenique Johnson

,,2, Ashok

Kumar 1 ,2, Serguei Ostapenko 3 ,2, Julie Harmon 4 and AbhaneshwarPrasad5; 'Department of Mechanical Engineering, University of SouthFlorida, Tampa, Florida; 2Nanomaterials and N anomanufacturingResearch Center, University of South Florida, Tampa, Florida;3Electrical Engineering, University of South Florida, Tampa, Florida;4Department of Chemistry, University of South Florida, Tampa,Florida; 5Cabot Microelectronics, Aurora, Illinois.

There is a wide spread interest in field of CMP consumables due alucrative existing and potential market, as the CMP process becomeswidely implemented in the field of microelectronics fabrication. Anon-destructive Ultra Sound Testing (UST) developed at USF hasalready been sucessfully used to map the variation of specific gravityin the pad. There is a need to corelate the results of the specific

212

Page 7: Advances in Chemical Mechanical Polishing

gravity variation with the actual polishing results there byestablishing the pad dependence on wafer to wafer non uniformity(WTWNU) and with in wafer non uniformity (WIWNU) occuringduring the CMP process. Novel CMP pads developed by CabotMicroelectronics for Interlayer Dielectric (ILD) polishing have beeninvestigated using the UST technique. The mechanical properties ofthe pads were evaluated using Dynamic Mechanical Analysis (DMA),pad compression test and nanoindentation. 6 inch coupons from areasof higher and lower ultrasound transmission were punched out andtheir tribological properties and removal rate were estimated duringCMP of high density plasma ILD material. Due to the relaxation inthe built in stresses that cause the non homogenity in the pad, thecandidate pad coupons punched out of the regions of different specificgravity did not show much variation in mechanical and tribologicalproperties.

K4.8Interactions between abrasive particles and fihn surfaces inlow down force copper CMP. Yuchun Wang, Fred Sun and Joe

Hawkins; Cabot Microelectronics, Aurora, Illinois.

In copper-low k CMP for 65 nm and beyond, a robust copper CMPslurry at low down force should have high copper removal rate,efficient planarization, decent overpolishing window, and low defects.The choice of abrasive particles in conjunction with film formingspecies is critical to achieve good planarity and residue free eu eMP,especially at higher level metal interconnect. This paper addresses theinteractions of different particles (alumina, silica, specially treatedparticles) and film surfaces in copper CMP at 0.7 psi to 2 psi. Theperformance of low dishing erosion and smooth surface finish isdiscussed with the proposed mechanism.

K4.9Effect of particle interaction on agglomeration of silica-basedCMP slurries. alex tregub, Intel Corp, Santa Clara" California.

Chemical Mechanical Planarization has become a method of choice forplanarization of metal and oxide layers in microelectronics industry. ACMP process includes up to 16 variables that need to be controlled toachieve a stable CMP process. One of the major variables in CMP isrelated to slurry compositions. In particularly, a uniform distributionof the sizes of the abrasive particle in slurry is crucial for a stableCMP performance. It is known that, for a silica-based slurry, particleagglomerates of above 1 micron size are the major cause of the waferdefects. The agglomerates can be unstable, and depend on addition ofchemical additives and shearing during the CMP process. In thiswork, the authors studied agglomeration of the fumed and colloidalsilica-based slurries using dynamic rheometry, zeta potential tests,and an accusizer. Dynamic rheometry tests were conducted in asteady and dynamic modes, including frequency and time sweep tests.The shear rate varied in the range from 10 to 2000 lisee, and all testswere performed at three different temperatures of 5, 30, and 500C.Slurry viscosity, determined using a steady state rheometry, wascorrelated to the particle charge, characterized by zeta potential, andto the particle sizes obtained using accusizer. Additionally, rheometerwas used for slurry shearing to study change of characteristics ofslurries subjected to shear. The effect of adding surfactants andvarious pH and aging on slurry stability was also studied. It wasshown that: Slurry viscosity can be correlated to the particle sizedistribution and particle charge; Slurry shearing causes decrease ofparticle repulsion and, thus, increase of sizes of particle agglomerates;Addition of surfactants diminishes the effect of shearing.

K4.1OMeasurement of Electroplated Copper Overburden forAdvanced Process Development and Control. Joshua Tower l

,

Michael Gostein', Alex Maznev' and Koichi Otsub02 ; 'PhilipsAdvanced Metrology Systems, Natick, Massachusetts; 2PhilipsAdvanced Metrology Systems, Tokyo, Japan.

The wafer-level and die-level uniformity of the copper electroplatingprocess has a direct effect on the final post-polish thickness of copperinterconnect lines used in advanced integrated circuits. Control of theplating process involves a complex balance between the chemicalproperties of the plating bath, the variable parameters of the platingmachine, and the characteristics of the incoming wafer. Furthermore,post-plating thickness can depend greatly on the local featuregeometry, with some process conditions resulting in excess depositionabove narrow-linewidth structures. Therefore, process developmentand control require high-speed copper thickness metrology to providerapid feedback of thickness over a variety of structures on the wafer.In this work, we used a non-contact metrology system based onlaser-induced surface acoustic waves to evaluate the uniformity ofelectroplated copper. Several wafers were prepared using a variety ofplating conditions to affect the copper overburden thickness.Measurements of overburden were made on line arrays of variousline-width and pitch, as well as on the surrounding field dielectric. In

general, the overburden was found to be thicker above the line arrays,as compared to the surrounding field. This difference in overburdenthickness is referred to here as the 'array step height'. In the wafersstudied, the magnitude of the array step height is very dependent onthe plating process and on the position within the wafer. For example,the array step height for a 50% density line array varies from zero to5000 Angstroms in these wafers, depending on process conditions. Thelarge overburden non-uniformity would generally result in pooruniformity of the copper line thickness after polish (CMP). The arraystep height was found to be progressively lower as the copperpercentage of the array decreases from 50% to 35% and to 20%. Wealso found that the overburden in the field area is reduced as theadjacent array step height increases, presumably because of depletionof reactant in the plating bath in the vicinity of the high overburdenregions above the arrays.

SESSION K5: Polishing PadsChair: Greg Shinn

Wednesday Morning, April 14, 2004Room 2007 (Moscone West)

8:30 AM K5.1Micro Feature Pad Development and Its Performance inChemical Mechanical Polishing. Sunghoon Lee and David A.

Dornfeld; Department of Mechanical Engineering, University ofCalifornia, Berkeley, Berkeley, California.

Chemical Mechanical Polishing (CMP) has been considered to be oneof the most capable IC fabrication technologies to achieve planarsurfaces essential for very large scale integrated circuits (VLSI).During the CMP process, a wafer is placed face side down on a padwith high pressure. The wafer and pad rotate simultaneously withslurry. High pressure, rotational speeds, and chemical-mechanicalreactions enhanced by the slurry result in a planarized surface on awafer. Generally, a pad has a wavy surface profile consisting of peaksand valleys. Real contact between the wafer and pad occurs on thecrests of the pad (i.e. active region). Fresh slurry temporarily collectsin the valleys (i.e. reservoir region) and is supplied to the activeregions through the relative movement of the wafer and pad, travelingbetween the peaks and valleys (i.e. transition region). However,degradation in the pad is generated mainly by abrasion in the activeregion. As a result, the real contact area increases and the real contactpressure drops rapidly during the CMP process, causing the materialremoval rate (MRR) to decrease dramatically in the absence of aconditioning process. The pad deterioration mechanism mentionedabove causes many problems such as removal rate fluctuations(process repeatability), scratches from diamond conditioning grit (padmaintenance), erosion, dishing (chip-topography dependence), and soon. A novel set of design rules is suggested in this paper for padfabrication that satisfies the demands for a new pad with featuressuch as constant real contact area, no-diamond conditioning, andtopography independence. Experimentally, pads are fabricated usingmicro-molding technology, and the repeatability and chip-topographyindependence issues are specifically investigated with patternedwafers. The pad introduced here is composed of two layers; soft andhard. The soft material with high compressibility and complianceserves to homogenize the pressure distribution over a wafer, and thehard layer, which is backed up by the soft layer, makes contact withthe wafer and is used to achieve planarity. To improve repeatability inthe CMP process, constant contact area between pad and wafershould be maintained. In this pad, the hard layer is composed ofevenly spaced micro scale square features. Using this design, betterrepeatability can be obtained. In a conventional pad, stressconcentration occurs around coarse or small patterns on a wafer. Thisuneven pressure distribution generates polishing defects such as awavy surface in ILD CMP, and dishing or erosion in metal CMP. Thereason for these defects is related to the continuity of contact pointsbetween the hard layer and wafer. In a new pad, only the isolatedhard cubes make contact with wafer. The stress is independentlyapplied on the hard cubes and is absorbed by the soft layer. As aresult, a uniform stress distribution is acquired across wafer.

8:45 AM *K5.2Characterization of CMP Pad Surface Texture andPad-Wafer Contact. Gregory P. Muldowney and David B. James,

Advanced Research and Pad Technology Groups, Rohm and HaasElectronic Materials, CMP Technologies, Newark, DE.

Abstract Not Available

9:15 AM K5.3Modeling CMP Transport and Kinetics at the Pad GrooveScale. Gregory P Muldowney, Advanced Research and Pad

Technology Groups, Rohm and Haas Electronic Materials, CMPTechnologies, Newark, DE.

213

Page 8: Advances in Chemical Mechanical Polishing

Chemical mechanical planarization (CMP) of semiconductors relies onan intense confluence of micron-scale transport and kinetics. Groovedesign and surface texture of CMP polishing pads are two readilyadjustable means of process control as planarizers are scaled to300-mm wafers. A 3-D fluid flow and kinetics model of the fullpad-wafer gap, including both grooves and land area flow properties,was developed to research the influence of these essential pad featuresat a scale not previously studied. A novel CMP pad characterizationtest was devised to determine the surface flow resistance of a texturedpolishing pad. Fluid pressure loss profiles under controlled flowconditions were measured across the pad while compressed by a flatinstrumented plate. Experiments yielded the void fraction andcharacteristic length of the pad asperity layer, two physicaldescriptors which accurately reflected differences among pad materialsand degrees of conditioning. Asymmetries in pressure profiles alsorevealed anisotropy in some textured surfaces at a level undetectedheretofore. The experimental flow resistances enabled a computationalfluid dynamics simulation to be built for a dual-axis CMP machinewith a rotating pad having 131 concentric circular grooves under arotating 2DD-mm wafer. Grooves were modeled as fluid regions andland areas as porous-media regions. Results revealed abruptpoint-to-point variations in polish conditions between the grooves andland areas including fluid velocity, wall shear stress, and transientmixing behavior. These effects were more pronounced at higher polishpressures. Flow patterns in individual grooves were found to be highlyvariable from one location to another beneath the rotating wafer suchthat pathlines of slurry particles were dissimilar point to point evenwithin the same concentric circular groove. Chemical reaction rates atthe wafer surface were studied by including first-order kinetics forslurry activity. The effects of pad and wafer rotation, amplified by theunequal flow resistances of grooves and land areas, led to features inthe steady-state concentration profile at the same spacing as thegroove pitch. This unusual finding identified a direct mechanism bywhich polish irregularities may be formed at the scale of the grooves.Results imply unexpected directions for CMP process andconsumables and improvements.

9:30 AM *K5.4Measuring Pad Deformation During Polish.Chris Buergin Rogers, Mechanical Engineering, Tufts University,Medford, Massachusetts.

For the past 8 years at Tufts University we have measured propertiesof the slurry film between the pad and wafer during polish usinglaser-induced fluorescence. Recently, we started making thesemeasurements at any given instant in the process, allowing us tofreeze the process. In particular, we measured the slurry film thicknessat a single instant in time over a 2 em square area under the wafer.This allows us to measure how the pad deforms around a givendiscontinuity (topography) in the wafer surface. In this talk I willreview some of the time-averaged results in slurry thickness,temperature, and mean residence time we have taken in the past. Iwill spend the bulk of the talk, however, presenting the newinstantaneous results of pad deformation. By measuring the slurryfilm thickness, we can even estimate the change in pad surfaceroughness under the wafer during the polishing process.

10:30 AM K5.5High Pressure Micro-Jet Technology as an Alternative toDiamond Conditioning for ILD CMP Applications.Darren DeNardis 1

, Masano Sugiyama1, Yoshiyuki Seike 2

, MineoTakaoka2, Keiji Miyachi2 and Ara Philipossian'; 'Chemical andEnvironmental Engineering, University of Arizona, Tucson, Arizona;2 Asahi Sunac Corporation, Owariasahi, Japan.

The efficacy of an alternative to diamond conditioning in theinterlayer dielectric (ILD) CMP process was investigated. The HighPressure Micro-Jet (HPMJ) system, manufactured by Asahi SunacCorporation, was studied to determine if the process could be used asa substitute for, or in conjunction with, conventional diamond discpad conditioning. The system is designed to jet ultra pure water(UPW), at pressures of 5 to 20 MPa, onto the surface of the pad usedfor wafer polishing. In this study, the effect of using the HPMJ systemfor ex-situ pad conditioning was analyzed in terms of its tribologicaland removal rate attributes. The new process was also compared tothose of in-situ diamond conditioning, ex-situ diamond conditioningand no conditioning. Experiments were performed on a scaled versionof a Speedfam IPEC 472 polisher using IC-1000 perforated pads with100-mm ILD substrates. Fujimi's PL-4217 slurry (12.5 weight percentfumed silica abrasives) was used. Slurry flow rate was maintained at80 cc/min. The variables associated with the HPMJ system included:water jet pressure, nozzle fan angle, actuator angle, water flow rate,distance from the nozzle to the pad, and jet spray time. The effects ofactuator angle and jet spray time on coefficient of friction (COF) andremoval rate were investigated to optimize the HPMJ system for ILDCMP. The optimal actuator angle and jet time were found to be 25°and 30 seconds. It was found that using the HPMJ system alone

resulted in higher values of COF compared to cases where noconditioning was adopted. However, the HPMJ resulted in removalrates that were similar to cases where pads were not conditioned.Ex-situ diamond conditioning and the HPMJ resulted in similarvalues of COF but the removal rates associated with the HPMJ weresignificantly lower than those associated with ex-situ diamondconditioning. In-situ diamond conditioning demonstrated greater COFand removal rate values compared to the HPMJ process. SEManalysis suggested that pad asperities existed on the pad surface aftertwo ILD polishes for both ex-situ diamond conditioning and HPMJconditioning, but were not apparent with no conditioning. Therefore,the lower removal rates associated with the RPMJ process wereassumed to be due to the dilution of the slurry on the pad surfacewhen RPMJ was used for conditioning. To prove this, experimentswere conducted using a pilot wafer between HPMJ conditioning andthe next wafer polish. The purpose of the I-minute pilot wafer polishwas to re-saturate the pad surface with slurry. The resultant averageCOF for HPMJ conditioning was approximately 20% less than that ofex-situ diamond conditioning (0.27 compared to 0.34). The Preston'sconstant associated with HPMJ conditioning was comparable to thatof ex-situ diamond conditioning (6.3E-14 compared to 9.2E-14 l/Pa).Results also indicated that the lower COF associated with HPMJconditioning has the potential of increasing pad life.

10:45 AM K5.6Non-Destructive Evaluation Method For PsiloquestApplication Specific Pads (ASP) For CMP Applications.Parshuram Balkrishna Zantye,,2, Arun Sikder2 , Ashok Kumar',2 and

Yaw Obeng3 ; 'Department of Mechanical Engineering, University ofSouth Florida, Tampa, Florida; 2Nanomaterials andNanomanufacturing Research Center, University of South Florida,Tampa, Florida; 3Psiloquest Inc., Orlando, Florida.

There is a need to develop the metrology metrics that predict theperformance of the CMP pads before putting them in to service. Thisappears to be achievable using PsiloQuest application specific pads(ASP) in which the pad surface is tuned to match the mechanicalproperties of the target substrate. The ASP-pads are made up ofthermoplastic foams co-extruded with condensed polyolefin. Thechemical and mechanical properties pad-wafer interface is tuned bycoating the pad with thin films ceramic various materials. The surfaceof the pads was characterized using AFM, XPS and FTIR while thehardness of the surface was measured using nanoindentation. Staticcoefficient of friction was measured with a hand held tribometer.Using CETR CP-4 bench top CMP tester, the pads were polished toevaluate the dynamic COF, Acoustic Emission (AE) signal andmaterial removal rates. The COF and AE were filtered using thewavelet transform technique. Polishing experiments need to beperformed on sufficiently large number of pads in order to get thestatistical distribution of its tribological properties. A strongcorrelation was observed between the static COF, the dynamic COFand removal rate. Since the static COF and wear rate of the pad is anon destructive test, it can be used for quality control of the pads,there by considerably decreasing the pad-pad reproducibility issuescommonly associated with thermoset polyurethane CMP pads. Theresearch was supported by NSF GOALI Grant # DMI 0218141.

SESSION K6: CMP Corrosion and CleaningChair: Ara Philip ossian

Wednesday Morning, April 14, 2004Room 2007 (Moscone West)

11:00 AM K6.1Corrosion of the Copper Damascene Interconnects as aFunction of the Electrochemical Plating Process Parameters.Didem Ernur 1

,2, Wen WU 1,2, Sywert R Brongersma1

, ValentinaTerzieva' and Karen Maex,,2; 'SPDT, IMEC, LEUVEN, Belgium;2ESAT, K.U.L., Leuven, Belgium.

The need for high-speed microprocessors requires an increase in thenumber of the transistors beyond the 0.10 mm technology. Thisnecessitates multilevel metallization together with the shrinkage inthe dimensions of the Cu interconnect lines. This means repeatedplanarization steps with no concerns of defects and corrosion from theCMP point of view. Corrosion of the Cu damascene interconnectsduring CMP were addressed by several researchers [1,2]. The CMPslurry constituents, and the barrier metal were shown to playimportant roles on the corrosion mechanism of Cu [3,4]. In this work,we present the influence of the type of the electrochemical platingrecipe and the bath chemistry on the Cu grain size evolution and itslink to Cu corrosion. Organic and inorganic acid-based model CMPsolutions were tested. Chips containing isolated and semi-dense singledamascene Cu lines, fabricated on silicon wafers, were used. Based onthe electrical and micro structural data our results revealed that thechange in the plating recipe, while keeping the bath chemistry

214

Page 9: Advances in Chemical Mechanical Polishing

unchanged, induced a variation in the resistance and the grain size ofCu especially in the narrow lines. The Cu etch rate also varied as afunction of the plating recipe in the case of inorganic acid basedsolution and presented a line width dependency with the highest etchrate in the narrow lines. This is thought to originate from thepreferential attack at the grain boundaries, which are higher involume and are shown to be a function of the plating recipe in thenarrow lines. Organic acid based solutions, however, resulted in lowerand line width in-dependent etching. By keeping the same recipe, wefurther changed the plating bath chemistry. Based on our results wesuggest that the differences in the additive type and concentration notonly influence the Cu grain evolution, but are also shown to posechallenges in terms of Cu corrosion of especially narrow lines. Thusbetter understanding of the link between the ECP and CMPparameters is critical for improved performance.

11:15 AM K6.2Prevention of Water Mark Defects in Copper/low-k CMPProcess. Ja Hyung Han2, Dae Hong Eom', Sang Ho Lee', Jin Goo

Park', Ja Eung Koo 2, Duk Ho Hong2, Sang-Rok Hah2 andKwang-Myeun Park2; 'Metallurgy and Material Eng., HanyangUniversity, Ansan, Gyeonggi-Do, South Korea; 2System LSI Division,SAMSUNG Electronics, Yongin-City, South Korea.

The requirement for improved speed has made copper theinterconnect metal of choice for integrated circuits (ICs) forsub-0.13um technology. However, copper patterning cannot be carriedout in the conventional way since dry etching of copper is difficult dueto the lack of valatile halogen compound at low temperature. Topattern copper for sub-micron technologies with a large processwindow, chemical mechanical polishing and the damascene technologyschemes are the only choices. Especially low-k material should be usedas dielectric in nano era. In Cu/low-k film CMP and post CMPcleaning, major challenges can be divided into to two issues. The firstissue is the delamination of low-k material due to the weak hardnessof low-k material and the second issue is the formation of watermarkson the dielectric spaces and copper lines due to hydrophobic propertyof low-k material. This paper presents basic surface properties oflow-k material, effects of CMP on surface property and effects ofsurfactant treatment in post CMP cleaning. Aurora film was used as alow-k dielectric and several kinds of surfactant were tested to evaluateeffects of surface modification in this experiment. CMP changessurface energy and contact angle of Aurora and shows significantimprovement on wettability without chemistry change in films.Addition of surfactant makes the adsorption of water easy andimproves wettability of films. Thermal desorption analysis show theeffects of surfactant treatments.

11:30 AM K6.3Adhesion of Alumina Slurry Particles on Wafer Surfacesduring Cu CMP. Yi Koan Hong, Ja Hyung Han and Jin Goo Park;Department of Metallurugy and Materials Eng., Hanyang Univ.,Ansan, South Korea.

The adhesion and removal of alumina particles were theoretically andexperimentally investigated during Cu CMP process. The adhesionforce of particles on surface was measured using an Atomic ForceMicroscope (AFM, Park Scientific Instruments CP Research) bydirectly measuring the force required to remove them from a surface.The 40 m diameter sized spherical alumina particle (Micron Co.) wasattached on a Si3N4 tipless cantilever. The adhesion force wasmeasured between particle and wafer surfaces in a liquid cell.Electroplated Cu wafers, TEOS (tetraethylorthosilicate), TaN, Coral(SiOCH) and FSG (Fluorine-doped Silicate Glass) of low-k materialswere used for the experiments, respectively. Cu and TaN wafers werepre-cleaned in diluted HF (DHF, 0.01 vol%) solution for 30 sec.TEOS, Coral and FSG wafers were pre-cleaned in the SPM(Sulfuric-peroxide mixture) solution and DHF solution for 5 min and1 min, respectively. The interaction forces between the particle andwafer surfaces during Cu CMP were calculated based on theDerjaguin-Landau-Verwey-Overbeek (DLVO) theory at different pHranges. The adhesion forces between the particles and surfaces werealso experimentally measured in different pH's slurry solution.Likewise, the magnitudes of particle contamination on wafer surfaceswere observed after they were polished to confirm the resultinginteraction forces. The highest particle removal efficiency wasobserved in cleaning solutions with the lowest adhesion force. Theadhesion force between particle and surface directly related to theparticle contaminations on wafers during Cu CMP process.

11:45 AM K6.4Frictional Analysis of Various PYA Brush Roller Designs forPost-CMP Scrubbing Applications. Juan Weaver', Weijing Li 2 ,Kris Bahten2, Larry Curtis2 and Ara Philipossian '; 'Department ofChemical Engineering, University of Arizona, Tucson, Arizona;2Rippey Corporation, El Dorado Hills, California.

The study consisted of analyzing two designs of PYA brush rollers,manufactured by Rippey Corporation, in terms of their frictional andtribological attributes during post-CMP scrubbing. The two types ofbrushes were identical to one another in all respects except the firstdesign employed a plurality of nodules on one-fourth of its outersurface while the other did not. The inner and outer radii of bothtypes of brushes were identical (the outer radius of the brush withnodules included the 5-mm height of the nodule). Other parametersinvestigated in this study were the rotational velocity of the roller (10,20,30,40, 50 and 60 RPM),the nominal pH of the cleaning fluid (1.1,7.0 and 10.7), the type of substrate being scrubbed (blanket silicondioxide wafer and STI-patterned silicon dioxide wafer), and theapplied brush pressure (0.25, 0.35, 0.45 and 0.55 PSI). All tests wereconducted on an isolated single-sided post-CMP cleaning machinedesigned especially to measure shear forces caused by the interactionbetween the brush and the surface of the wafer at a samplingfrequency of 10,000 times per second. This data, couple with theapplied brush pressure allowed the calculation of the coefficient offriction (COF) critical for establishing the frictional and tribologicalattributes of the system. In all cases, higher brush pressures resultedin higher values of COF. Brushes containing nodules showed highervalues of COF compared to those without nodules. This differenceranged from 1.5X at low values of pH to about 3X at neutral and highvalues of pH. COF associated with blanket wafers was statistically thesame as those corresponding to STI patterned wafers. This suggestedthat blanket wafers could act as suitable candidates in establishingthe frictional attributes of post-CMP brush scubbing of typicalindustrial processes. This observation was supported by traditionalStribeck curve analysis indicating the absence of 'boundarylubrication' throughout the entire range of Sommerfeld numbersemployed. Specifically, at low values of pH, regardless of the type ofsubstrate, the tribological mechanism associated with brushescontaining nodules was that of 'partial lubrication' at higher appliedpressures (i.e. 0.55 and 0.45 PSI) and that of 'hydrodynamiclubrication' at the lower pressures. At neutral and high values of pH,tribological mechanism was that of 'hydrodynamic lubrication' acrossthe entire range of applied pressures and Sommerfled numbers.Real-time frictional waveforms (in time domain) were furtheranalyzed using spectral techniques to yield information regarding thedistribution of the total mechanical energy of the process in frequencydomain. The resulting 'interfacial interaction index' which was ameasure of the total mechanical energy of the process due to stick-slipphenomena, confirmed the tribological results summarized above.

SESSION K7: Novel CMP ApplicationsChair: Johann Bartha

Wednesday Afternoon, April 14, 2004Room 2007 (Moscone West)

1:30 PM *K7.1Utility of CMP in Engineered Semiconductor Substrates.Eugene Arthur Fitzgerald, Materials Science and Engineering, MIT,Cambridge, Massachusetts.

Traditional use of CMP as applied to semiconductors began with theart of polishing bulk semiconductor substrates. As the back-end ofCMOS integrated circuits grew to multiple metal layers, CMPmigrated to solve the problems of interconnect layer morphology. Asthe use of CMP has removed some performance constraints of theback-end, CMP is now playing an increasing role in the fabrication ofadvanced engineered substrates to improve performance of front-endtransistors. CMP may also bring new device functionality into thefront-end as well. In this talk, we overview the use of CMP in creatingstrained Si/SiGe/Si, strained Si/SiGe/OI, Ge on Si, GOI, and GaAson Si. We also will highlight the devices which can be created on theseengineered substrates, and their potential use in future systems. Weconclude with a case study of the commercialization of strained Sisubstrates.

2:00 PM K7.2Advances on the CMP Process on Fixed Abrasive Pads forthe Polishing of SOl Substrates with high degree of flatness.Martin Kulawski 1, Frauke Weimar2, Jari Makinen3 , Kimmo

Henttinen 1 and Ilkka Suni 1; IVTT Microelectronics, Espoo, Finland;23 M Europe, Neuss, Germany; 30kmetic Oy, Espoo, Finland.

When presenting the new approach of polishing silicon wafers forthick film silicon-on insulator (SOl) substrates by fixed abrasive padsin 2003 the state of development was early, however showedencouraging results. Incoming ground material of total thicknessvariation (TTV) of below 0,5 f.'m was seen able to be kept maintainedunder the polishing and superior surface quality was achieved underthe processing. With a further developed pad the obstacles of too lowremoval and slow processing have been able to be addressed and anoverall improved performance was shown without loosing the superior

215

Page 10: Advances in Chemical Mechanical Polishing

capabilities of the first approaches. By going on in the evolution of thefixed abrasive technology and driving on the process development ithas been able to reach the major specifications of a practicablemanufacturing process. The further developed consumable-processsolution has been applied to a variety of materials and was able toshow even enhanced removal rates. Long term tests have been carriedout to demonstrate the stability of the process. By integration of theprocess with final haze removal into enhanced grinding methods and asuitable post-CMP cleaning it can be shown that a new alternativemethod is achieved to manufacture highly flat SOl substrates. Resultsare confirmed by capacitive thickness measurements as well as atomicforce microscopy (AFM). For waviness measurements optical reflectionmethods are used. In a comparison with conventional processing thequality of the overall process performance is demonstrated.

2:15 PM K7.3Analysis of N anotopography Generation in Polishing Processof Silicon Substrate. Hiromichi Isogai and Katsuyoshi Kojima;

Silicon Company, TOSHIBA CERAMICS CO.,LTD., Kitakanbaragun,Niigata Prefecture, Japan.

This paper describes a new model, which can analyze the effect ofpolishing conditions on nanotopography of silicon (Si) wafer inchemical mechanical polishing (CMP) process. Nanotopographycharacterization has been affects the thickness uniformity of dielectricoxide-film on Si wafer as CMP. CMP has become increasinglyimportant in the production of multilayer integrated circuits due tothe decrease in feature size and increase in pattern density in ULSIdevices. Therefore, reducing nanotopography of Si substrate isessential to obtain high-performance of Si substrate in CMP. We havedeveloped the model based on the Prestonfs equation for calculatingnanotopography change process in Si substrate polishing. In thismodel, various conditions of polishing relating to the structure ofpolish apparatus can be taken into consideration. To investigate theinfluence of polishing conditions, such as a pad material and a motionof substrate, nanotopography was analyzed by using this model. Thus,the mechanisms of nanotopography generation in polishing process ofSi substrate were clarified during CMP process.

2:30 PM *K7.4MEMS-based Retinal Prosthesis Electrode Array.David J. Stein 1,2, Kurt Wessendorf1 , Murat Okandan1 , Ramona

Myers 1 , Tom Lemp1 and Conrad James 1; lSandia National Labs,Albuquerque, New Mexico; 2University of New Mexico, Albuquerque,New Mexico.

200,000 people each year go blind due to age-related maculardegeneration and retinitis pigmentosa. People who suffer from thesediseases lose functionality of the rods and cones - the cells in theretina that detect light. However, functionality of the nerves thattransmit information from the rods and cones to the brain is notimpaired. The US DOE and NIH have teamed to develop a devicethat will act in lieu of the rods and cones and bring limited sight backto these patients. Many challenges exist, especially that of building adevice that will be placed onto the retina to stimulate the nerveendings. Our challenge is to design and build a 1,000 electrode arrayto allow those suffering from these diseases to see well enough to beindependently mobile and to read large type. Sandia's approach is tobuild the device as a MEMS structure where the individual electrodesare attached to springs to compensate for the curvature andtopography of the retina. This talk will give an overview of the retinalprosthesis project and describe the structure and manufacturingissues associated with the MEMS electrode array. CMP is usedextensively during fabrication and these aspects will be emphasized.Sandia National Laboratories is a multiprogram laboratory operatedby Sandia Corporation for the United States Department of Energy'sNNSA under contract DE-AC04-94AL85000

3:30 PM K7.5The Application of Chemical Mechanical Polishing for NickelUsed in MEMS Devices. Arun Vijayakumar, Tianbao Du,Kalpathy Sundaram and Vimal Desai; Advanced Materials Processingand Analysis Center, University of Central Florida, Orlando, Florida.

Chemical mechanical planarization has found extensive application inthe fabrication of micro-electro-mechanical systems (MEMS) devices.Nickel is a promising material to realize movable structures for MEMSapplication. The development of CMP slurry chemistry for Ni thatprovides good CMP performance is the key in enabling CMPtechnology for MEMS device fabrication. Unfortunately, very littlework has been reported in terms of the electrochemical interaction ofNi with various CMP slurry constituents such as oxidizers,complexants and inhibitors. In this study, the dissolution, passivationand polishing behavior of nickel with various slurry constituents wasstudied under dynamic and static conditions. Electrochemicaltechniques were used to investigate the interplay between the differentslurry chemistries such as type of abrasives, pH, oxidizer

concentration, chelating agent concentration and corrosion inhibitor.The affected surface layers of the statically etched Ni-disc wereinvestigated using X-ray photoelectron spectroscopy (XPS) andscanning electron microscopy (SEM). The surface planarity wasstudied by atomic force microscopy (AFM). Preliminary resultsobtained with different slurry chemistries seem to indicate that thesurface chemistry and electrochemical characteristic play animportant role in controlling the Ni polishing behavior.

3:45 PM K7.6CMP Modeling and Characterization for Polysilicon MEMSStructures. Brian David Tang1,2 and Duane S. Boning1,2;

'Electrical Engineering and Computer Science, MIT, Cambridge,Massachusetts; 2Microsystems Technology Laboratories, MIT,Cambridge, Massachusetts.

The current bedrock technology for integrated circuit (IC)planarization, chemical-mechanical polishing is beginning to play animportant role in microelectromechnical systems (MEMS). However,MEMS devices operate with different feature sizes in comparison toICs. While smaller is better for circuits, MEMS features are usuallyfound to be larger in order to fulfill mechanical functions. We presentan experiment to characterize and model a polysilicon CMP processwith the specific goal of examining MEMS sized test structures. Weutilize previously discussed models and examine whether assumptionsfrom IC CMP can be applied to MEMS CMP. An analysis of the datacollected points to a polishing dependence on not only patterndensity, but also partly on feature size. In addition to larger featuresizes, MEMS devices can have new and different kinds of layouts incomparison to IC layouts. While carrying out our characterizationexperiments, we discover that certain layout features relevant toMEMS can negatively impact the ability of existing CMP models tosimulate polishing, motivating the need for further modeldevelopment.

4:00 PM *K7.7Planarization Issues in Wafer-Level 3D Integration.Jian-Qiang (James) Lu, Timothy S. Cale and Ronald J. Gutmann;

Focus Center - New York, Rensselaer: Interconnections forHyperintegration, Rensselaer Polytechnic Institute, Troy, New York.

Planarization Issues in Wafer-Level 3D Integration J.-Q. Lu, T.S.Cale and R.J. Gutmann Focus Center - New York, Rensselaer:Interconnections for Hyperintegration Rensselaer PolytechnicInstitute, [email protected] Wafer-level three-dimensional (3D) integrationoffers the potential for the highest volumetric density of signalelectronics and optoelectronics with a high density of high­performance vertical interconnections. Various 3D technologyplatforms have been investigated, with different combinations ofalternative alignment, bonding, thinning and inter-waferinterconnection technologies. Initial emphasis has been on thedifferent wafer-to-wafer alignment strategies, bonding techniques, andinter-wafer interconnection approaches. However, wafer-levelplanarization requirements can be significantly different for thevarious 3D platforms. After a brief overview of the potential ofwafer-level 3D integration and a summary of viable 3D technologyplatforms, this paper will focus on comparison of wafer-levelplanarization needs for these platforms. Particularly, planarizationissues associated with wafer bonding interface, wafer thinning, anddamascene patterning of inter-wafer interconnects will be addressedfor the various 3D technology platforms. Inter-wafer interconnectdummy-structure needs will also be discussed.

4:30 PM K7.8Chemical Mechanical Planarization of Ruthenium Thin Film.Sang-Ho Lee', Young-Jae Kang', Jin-Goo Park' and Sang-Ick Lee 2

;

'Metallurgy and Materials Eng., Hanyang University, Ansan,Kyunggido, South Korea; 2 Advanced Process-CMP, HynixSemiconductor, Ichon-si, Kyunggido, South Korea.

MIM (Metal-Insulator-Metal) structure capacitor is required in thefuture DRAM technology because of the cell capacitance in shrinkingcell size. The novel metals such as ruthenium have been suggested asthe bottom electrode materials in the fabrication of the capacitor.However, there are several issues in the application of these metals forMIM structure capacitor. One of them is the difficulty of planarizingthe steps of cylinder capacitor to meet the requirement of the backendscalability. The maskless planarization technology or chemicalmechanical planarization has been introduced for the planarization ofthe metal layers after the capacitor process. In this study, thechemical mechanical planarization process of ruthenium for theformation of bottom electrode in capacitor was investigated.Ruthenium thin film was polished by nitric acid and ceriumammonium nitrate based slurry. Because ruthenium has very highresistance to chemical reaction at room temperature, it was difficultto find the chemistry that etch and polish the ruthenium surface.

216

Page 11: Advances in Chemical Mechanical Polishing

Strong oxidizers such as cerium ammonium nitrate, ammoniumpersulfate, urea and potassium permanganate were applied to etch theruthenium surface with and without nitric acid. From etchingexperiment, it was found that only the cerium ammonium nitrate orchemical mixture of cerium ammonium nitrate and nitric acid etchedthe ruthenium thin film slightly. However, the ruthenium thin filmwas not etched in the other applied chemicals. Chemical MechanicalPlanarization experiments were carried out with Logitech PM5polisher and Rodel IC 1400 pad. The carrier and platen speed was setat 30rpm. The down pressure of carrier was 6.5 psi and slurry flowrate was constant to 200ml/min during the polishing. Also, polishingtime was 1 min. From the results of etching, slurry was made withnitric acid and cerium ammonium nitrate to polish the ruthenium.The removal rate of ruthenium was 85.47nm without abrasiveparticles. When alumina particles were added into the slurry solutionfrom 1 to 3 wt%, the removal rate increased over 100nm andsaturated. In the constant abrasive particle content, concentration ofcerium ammonium nitrate was controlled. As the concentration ofcerium ammonium nitrate increased, the removal rate of rutheniumwas about 100nm at 6 wt% nitric acid uniformly. However, theremoval rate increased linearly in the slurry containing only ceriumammonium nitrate and abrasive particles. From these results, thepolishing behavior of ruthenium was dependent on the concentrationof cerium ammonium nitrate when nitric acid was not added to slurry.

SESSION K8: CMP ModelingChair: Duane Boning

Thursday Morning, April 15, 2004Room 2007 (Moscone West)

8:30 AM *K8.1Modeling and Design of Consumables in ChemicalMechanical Planarization (CMP). David Dornfeld, Sunghoon Lee,Jianfeng Luo, Jihong Choi and Edward Hwang; MechanicalEngineering, University of California, Berkeley, California.

Mechanical issues such as abrasive size, distribution, composition aswell as the characteristics of pads (composition, mechanicalproperties, surface features and texture, etc.), kinematics of theprocess (polishing trajectory and velocity), pressure and padconditioning as well as chemistry effects of the slurry (pH, stability,viscosity, etc.) and, of course, wafer materials all contribute to theuncertainty in CMP performance. The understanding of the differentroles played by the input values and their interactions, is critical forthe optimization of cost, material removal rate, non-uniformity,micro-scratches and the control/design of CMP, [1]. Material removalmodels (primarily mechanical aspects) describing these interactions,which are unique do to the small pad hardness and different size scalesof the pad asperity and the polishing abrasives, have been developedby [2]-[4]. This model is based on solid-solid contact mode in CMPand assumptions of plastic contact over the wafer-abrasive interfaceand pad-abrasive interface, a normal distribution of abrasive size anda periodic rough surface of the polishing pad. A number of importantinput values have been integrated into the model. MRR formulationsdeveloped based on the model as functions of down pressure andabrasive size have been presented and verified using experimentalresults. An important observation of both experimental researchersand those focusing on modeling has been that characteristics of thepad, slurry chemistry and abrasives (if present) - the consumables ­play an important role in the success of the process. This success ismeasured in terms of selectivity, with-in wafer nonuniformity(WIWNU), with-in die nonuniformity (WIDNU), scratching, dishingand erosion. This paper reviews current modeling efforts and,specially with respect to the design and performance prediction ofconsumables, presents some novel ideas on designed consumablesincluding specially designed pads [5]. References [1] Evans, J., et aI.,Material Removal Mechanisms in Lapping and Polishing, STC GKeynote, CIRP Annals, 52,2, 2003. [2] Luo, J. F. and Dornfeld, D.A., Material Removal Mechanism in CMP: Theory and Modeling,IEEE Trans. Semiconductor Manufacturing, 14, 2, 2001, pp. 112-133.[3] Luo, J. F. and Dornfeld, D. A., Material removal regions in CMPfor Submicron Integrated Circuit Fabrication: Coupling Effects ofSlurry Chemicals, Abrasive Size Distribution and Wafer-pad ContactArea, IEEE Trans. Semiconductor Manufacturing, 2003, vol. 16, no. 1,pp. 45-56. [4] Luo, J. F. and Dornfeld, D. A., Effects of abrasive sizedistribution in chemical-mechanical planarization (CMP): modelingand verification, IEEE Trans. Semiconductor Manufacturing, 16, 3,2003, to appear. [5] Lee, S., Kim, H., Jeong, H. and Dornfeld, D. Padcontact area characterization in CMP using micro molding technology,Proc. 18th ASPE Annual Meeting, Portland OR, Nov. 2003.

9:00 AM K8.2Revisiting the Removal Rate Model for Oxide CMP.Jamshid Sorooshian 1

, Ara Philip ossian 1, Len Borucki2 , David Stein3 ,

Dale Hetherington3 and Robert Timon3; lUniversity of Arizona,

Tucson, Arizona; 2Intelligent Planar, Mesa, Arizona; 3S andia NationalLaboratories, Albuquerque, New Mexico.

Experiments were performed on a Speedfam-IPEC 472 Avanti polisherusing 150-mm blanket thermal silicon dioxide and thermally annealedLPCVD TEOS wafers. Nominal pad surface temperatures of 12, 25,35 and 45 degrees Celsius were used to investigate the effects of padtemperature (T), wafer pressure (p) and relative pad-wafer velocity(U) on removal rate. Wafer pressures of 3, 5 and 7 PSI, and relativepad-wafer velocities of 0.31 and 0.93 m/s were examined.Consumables included Rodel's IC-1400 k-grooved pad and Cabot'sD7300 silica-based slurry (12.5 percent silica by weight) at a constantflow rate of 270 cc/min. Conditioning was performed in-situ using a100-grit diamond disc. An IR camera was used to record the padsurface temperature on 10 points along the leading and trailing edgesof the wafer during the CMP process. At a given pad temperature,the relationship between removal rate and p x U showed significantdeviations from Prestonian behavior for both types of silicon dioxidesubstrates. The greatest non-Prestonian behavior was observed whenrelative pad-wafer velocity increased from 0.31 to 0.93 m/s. Byassuming a Langmuir-Hinshelwood kinetics model, the removal ratedata at various pad temperatures were used to determine theapparent activation energy (based on the mean pad temperature) ofthe thermally activated step. At low values of p x U apparentactivation energies were estimated to be around 0.1 eV for both thethermal silicon dioxide and TEOS oxide substrates. This value wasconsidered to be too low (and likely incorrect) since at low values of px U, removal was mechanically limited. At high values of p x U wherethe removal was thermally activated, the apparent activation energieswere more realistically around 0.4 eV for both types of substrates.Based on a previously developed thermal model (albeit for a polisherusing 100-mm substrates) increasing the relative pad-wafer velocityfrom 0.31 to 0.93 was shown to impact the heat partition functionbetween the pad and the wafer such that 17 percent less heatdissipated through the wafer during processing. Moreover, the abovemodel indicated that higher sliding velocities removed more heat fromthe wafer due to forced convection caused by the centrifugal motion ofthe slurry. The above two phenomena were believed to be responsiblefor the observed dramatic deviation in the Prestonian behavior of theprocess at various velocities. Studies are currently underway to extendthe above thermal model to the 150-mm polisher used in theseexperiments. Such an undertaking would not only allow accuratedetermination of the wafer temperature as a function of slidingvelocity, but would also help determine the actual activation energy ofthe process thus theoretically explaining and reconciling the observednon-Prestonian behavior.

9:15 AM K8.3Tribological Issues and Modeling of Removal Behavior of theDoped and Undoped Si02 Interlayer Dielectric Planarization.Arun Sikder2 , Swetha Thagella2 , Parshuram Balkrishna Zantye,,2,

Ashok Kumar',2 and Jiro Yota3; 'Department of Mechanical

Engineering, University of South Florida, Tampa, Florida;2Nanomaterials and Nanomanufacturing Research Center, Universityof South Florida, Tampa, Florida; 3 Advanced Process Technology,Skywork Solutions, Inc, Newbury Park, California.

Understanding the tribological, mechanical and structural propertiesof an inorganic and organic dielectric layer in the CMP (chemicalmechanical planarization) process is critical for successful evaluationand implementation of these materials with the copper metallization.In this research we will present the mechanical and tribologicalproperties of various doped and undoped oxide low- k materials likeundoped (Si02), carbon doped (SiOC), fluorine doped (SiOF) oxidesusing nanoindentation and CMP tribometer. Films were depositedusing both chemical vapor deposition and spin-on method. Polishingof these films was performed on a bench-top CMP tester usingcolloidal oxide slurry. Coefficient of friction and acoustic emissionsignals have significant effect on the polishing behavior. It was foundthat carbon and fluorine incorporation in the Si-O network weakenthe mechanical integrity of the structure and behave differently inslurry selective to Si02 films. Surface of the films are investigatedbefore and after polishing using atomic force microscopy. Roughnessand section analysis of the films after polishing show the variation inwear mechanism. A materials removal model is derived on the basisthat the material removal rate (MRR) is equal to the materialremoved by a single abrasive and the number of active abrasivesinvolved in material removal. A new method of calculating activeabrasive particles will be presented. It is found that with the increasein pressure, the MRR increases due to increase in the number ofactive abrasives. The model is validated by comparing the results withexperimental results.

9:30 AM K8.4Pad Asperity Parameters for CMP Process Simulation.Takafumi Yoshida, Dept of TCAD, YNT-jp.com, Hikari, Yamaguchi,Japan.

217

Page 12: Advances in Chemical Mechanical Polishing

This paper reviews the contact mechanics between the surface of awafer and the asperity of the polishing pad for CMP from basicasperity such as spherical, rectangular, and conical shapes to moregeneral asperity with a height distribution. This paper also proposes apractical method to bridge a measured profile of pad asperity inmicroscopic scale to a set of simple parameters which describe theelastic behavior for CMP process simulation in lager scale. We show ascalable CMP simulation using the proposed pad asperity parameters.

9:45 AM K8.5Assessment of Planarization length variation by theStep-Polish-Response (SPR) Method. Johann W. Bartha,Tilo Bormann, Kathrin Estel and Dieter Zeidler; Inst. forSemiconductor- and Microsystems Technology, Dresden University,Dresden, SN, Germany.

In spite of the fact, that the main purpose of CMP is theplanarization of surfaces, most processes are optimized with respect tothe removal rate. Possibly this is due to a lack in the determination ofthe planarization behavior. Boning has proposed the application ofspecific test pattern to determine the planarization length and hedescribed the concept of convoluting a transfer function with the testpattern to obtain the shape of the finished surface. The problem inthe interpretation of the data is the interaction of neighboring patternwith different pattern densities. We used the approach to apply thepolish to the most simple imaginable pattern, which is a simple stepwith an extension of the up and down areas much larger than theplanarization length. In this case the spatial derivative of the resultingcontour represents directly the demanding transfer function used forthe convolution. We have applied this concept (SPRStep-Polish-Response) to evaluate the polish of oxide and copper.Wafers with steps concentrically arranged around the center of thewafer in an about 1um thick Si02 or Cu film with a terrace extensionof 1 to 5 em have been prepared. During polish the initially infinitesteep step widens up, yielding the planarization length as a functionof removal respectively polishing time. These experiments reveal 3significant effects: i) The planarization length is a function of polishtime respectively of the removal and reaches its equilibrium value atpolish times respectively removals much larger than used inmanufacturing. ii) It turned out that the transfer function is notnecessarily a symmetric function, which enables to describe a differentpolishing behavior at the down and up area close to the step. iii) Weobserved transfer functions with side minima, resulting in anenhanced removal rate at the down area close to the step edge and areduced removal rate at the up area close to the step edge. Profilesshowing this behavior will be presented. Significant differences in theevaluation of the planarization length could be quantified dependingon the pad, slurry and tool parameters. Surprisingly our firstexperiments revealed a decrease in planarization length by theaddition of BTA in a copper slurry. We will present further results onthe variation of additives in the slurry to study chemical effects andvariations of machine parameters to study mechanical effects, thatimpact the planarization behavior at Oxide and Copper steps.

SESSION Kg: Oxide and STI CMPChair: Johann Bartha

Thursday Morning, April 15, 2004Room 2007 (Moscone West)

10:30 AM *K9.1Cerium Oxide Abrasives - Observations and Analysis.David Russell Evans, Sharp Laboratories of America, Inc., Camas,Washington.

The use of cerium oxide (ceria) as an abrasive for dielectric chemicalmechanical polishing has had a "checkered" history to say the least.Nevertheless, its use remains attractive for this purpose because offavorable polishing characteristics that are generally not obtainableusing conventional fumed or colloidal silica abrasives. To be specific,large differences are commonly observed between the removal rates ofthin film silicon oxide, silicon nitride, and/or polysilicon. Moreover,such rate selectivity invariably favors the removal of oxide films,which of course, is precisely what is desirable for fabrication ofmodern shallow trench isolation schemes. Even so, oxide CMP usingceria abrasive often exhibits unusual characteristics that cannot beexplained adequately by conventional polishing models based onpad/asperity elasticity or pressure distribution over features. Mostnotably, non-conventional, observed behaviors can be collected underthe rubric of" slow start pehnomena" . In addition, a further andpossibly related objectional characteristic of ceria abrasive is anenhanced tendency toward defectivity in comparison withconventional silica. In this work, the behavior of ceria abrasives withinthe context of CMP will be summarized and analyzed.

11:00 AM K9.2Analysis of Ceria Slurry Particles Pre and Post DielectricCMP. Naga Chandrasekaran, CMP, Micron Technology, Inc., Boise,Idaho.

Chemo mechanical polishing (CMP) has emerged as a primaryprocessing step in the manufacture of semiconductor devices. Withconstantly reducing device dimensions and increasing density, therequirements placed on CMP process performance has increasedsignificantly. This has led to the development of a variety of processconsumables including, polishing pads, slurry, conditioner, and toolset. Among the process consumables, the primary factor affectingCMP performance is the abrasive slurry. It has been commonlyaccepted that in dielectric CMP, the slurry chemically modifies thedielectric surface forming a hydrated layer, which is then removed bymechanical abrasion between the slurry particles and the worksurface. While several other models have also been proposed toachieve a better understanding of the material removal mechanisms inCMP, there has been limited experimental evidence in direct supportof these models, primarily due to the dynamics of the CMP process. Abetter understanding of the particle-work surface interactions can beachieved by studying the change in abrasive particle morphology andchemistry pre and post CMP, which is the objective of this study. Inthis investigation, ceria slurry is used to polish two different dielectricmaterials, namely, thermal oxide and thermal nitride. The slurry usedto polish these materials is collected post CMP and analyzed tounderstand the change in particle size distribution, abrasivemorphology (SEM), and chemistry (XRD and TGA). It was observedthat the tail of the particle size distribution exhibits a significant shiftpost CMP while the mean size change is relatively insignificant. Thisindicates that the material removal mechanism is primarily achievedby the particles in the tail regime during the initial polishing cycle. Aspolishing proceeds, the large particles are either embedded deeper intothe film or broken down at the interface between the wafer surfaceand the polishing pad leading to higher particle-wafer contact area. Itcan be suggested that these large particles in the tail end of the slurryare the primary defect generation sources as well. Under the SEM, theparticle morphology was observed to change relatively less, however,the number of larger particles was observed to be reduced. XRD andTGA analysis also suggested possible reactants on the surface of theparticles. In addition, differences in the material removal mechanismsbetween oxide and nitride with ceria slurry were also noted. Thedegree of change in abrasive morphology was observed to be dependon the pad hardness. When experiments were performed on a softerpad, the material removal was observed to drop. In addition, thechange in particle size and morphology was observed to be minimalcompared to a hard pad.

11:15 AM K9.3WID Rnit Variation Improvements of HSS STI CMP Processusing Modified Scribe Lane Pattern Design. kwon hyuk, choiyongsoo, lee sanghwa, chung myungjin and song yongwook; MemoryResearch Division, Hynix Semiconductor Inc., Icheon, Kyeonggi-do,South Korea.

Recently, Ceria-based high selectivity slurry (HSS) has been used atthe shallow trench isolation (STI) CMP process. This is due to HSShas proven to give much improved field oxide (Fox) dishing andthickness range, as well as nitride loss and range, compared totraditional silica based slurry. In the DRAM process, trench isolationtechnology normally requires characteristics that high polishing ratefor field oxide layer and low polishing rate for nitride barrier layer.Therefore, high selectivity characteristics between oxide and nitridelayer play an important role in STI-CMP process and usually can beachieved using Ceria-based abrasive with additives. Generally, HSSSTI-CMP process consists of two polishing steps. In the first step,conventional silica-based low selectivity slurry has generally beingused for the reduction of initial step height. In the second step,ceria-based high selectivity slurry is used especially for excellentnitride surface finishing and this second polishing step was developedas following 3-stage. First stage is oxide removal stage, that oxidesdeposited on the top of nitride are removed by ceria abrasive in theslurry. Second stage is nitride passivation stage, which has acharacteristic that additives are adsorbed on the exposed nitridesurface and embodies high selectivity characteristics. And third stageis over-polishing stage, in this final stage, mechanical polishing factoris more dominant than chemical factor especially in the large-pitchedand low pattern density region. As a result, nitride erosion increaseswith over-polishing going on. In the scribe lane, which is located atthe frame neighboring two chips, most of the test patterns formonitoring electrical characteristics of memory device as well asvarious key patterns for photo process are formed. In this area, anyregions that aren't drawn at STI mask level were generally leaved asfield oxide (Fox) region. For this reason, the pattern density of theseregions is lower than that of the main chip area, and cause nitrideerosion by dishing phenomena during HSS STI-CMP process. Nitrideerosion occurred in the scribe lane region, could affect erosion

218

Page 13: Advances in Chemical Mechanical Polishing

properties of cell region in main chip area, results in within die remainnitride variation and marginal fail in device characteristics. In orderto prevent this problem, unit-pattern density in the scribe lane wasincreased by modifying some of the large Fox patterns into Activepatterns. Using this modified pattern design, any possible side effectson photo key alignment process were checked. The effects ofimprovement in within die remain nitride variation were investigatedby FIB-TEM analysis and its correlation with electrical propertieswere explained. Analysis results shows there weren't not any sideeffect on the following photo process and the range of within dieremain nitride thickness decreased up to 59.2%(45A), the range of cellVt(Thresh hold voltage) decreased up to 13.5mV.

11:30 AM K9.4Characterizing STI CMP Processes with an STI Test MaskHaving Realistic Geollletic Shapes. Xiaolin Xie l

, Tae Park l,

Hong Cai', Duane Boning', Aaron Smith 2, Neil Patel 2 and Paul

Allard 2; 'Microsystems Technology Laboratories, MIT, Cambridge,

Massachusetts; 2National Semiconductor Corporation, SouthPortland, Massachusetts.

Chemical mechanical polishing (CMP) has become the enablingplanarization method for shallow trench isolation (STI) of sub 0.25umtechnology. CMP is able to reduce topography over longer lateraldistances than earlier techniques; however, CMP still suffers frompattern dependencies that result in large variation in the post-polishprofile across the chips. In the STI process, insufficient polish willleave residue nitride and cause device failure, while excess dishing anderosion degrade device performance. Our group has proposed severalchip-scale CMP density models [1], and methodology using designeddielectric CMP test mask to characterize CMP process. Themethodology has proven helpful in understanding STI CMP, however,it has several limitations as the existing test mask primarily consistsof arrays of lines and spaces of large feature size varying from 10 to100 urn. In this paper, we present a new STI characterization mask,which consists of various rectangular, L-shape, and X-shape structuresof feature sizes down to submicron. The mask is designed to studyadvanced STI CMP processes better, as it is more representative ofreal STI structures. The small feature size amplifies the effects of edgeacceleration and oxide deposition bias, thus enables us to study theirimpacts better. Experimental data from a state of the art CMPprocess is shown to verify the existing methodology, and thesesecondary effects are explored. The new mask and data guide ongoingdevelopment of improved pattern STI CMP models. [1] D. O. Ouma,D. S. Boning, J. E. Chung, W. G. Easter, V. Saxena, S. Misra, and A.Crevasse, "Characterization and Modeling of Oxide ChemicalMechanical Polishing Using Planarization Length and Pattern DensityConcepts," IEEE Transactions on Semiconductor Manufacturing, vol.15, no. 2, pp. 232-244, May 2002.

11:45 AM K9.5Investigation and Control of Chemical and Surface ChemicalEffects in Dielectric Chemical Mechanical Polishin?Jeremiah Terrell Abiade', Wonseop Choi', Vishal Khosla and RajivK. Singh 2

; 'Materials Science & Engineering and Particle EngineeringResearch Center, University of Florida, Gainesville, Florida;2University of Texas, Austin, Texas.

With the ever-increasing popularity of STI in microelectronic devicefabrication designer slurries must be tailored to meet increasinglystringent planarity requirements. Although, dielectric polishing isprimarily mechanical in nature, the chemical and the surface chemicaleffects can be tailored to enhance selectivity and planarity. Examplesof chemical and surface chemical effects in dielectric CMP includecontrol of slurry pH, use of reactive particles such as cerium dioxide,and addition of surfactants to modulate the particle-substrateinteractions. Cerium dioxide particles are utilized due to an increasein substrate dissolution through particle-substrate bonding, whichaccelerates material removal of the dielectric surface. The increasedefficiency of reactive particles is largely dependant on the area ofcontact between particle and substrate during polishing. The chemicalnature of the interaction between the particles and surfactants hasbeen investigated using AFM, XPS, and an in-situ friction forceapparatus. Both the pH and cerium dioxide particles have been foundto significantly affect the near surface region of the oxide film.Additionally, the use of surfactants to control the planarity andenhance selectivity will be discussed.

219