ee.sharif.eduee.sharif.edu/.../assignment_2/assignment2_backup.docx · web viewthe procedure for...

13
EE25266 – ASIC/FPGA Chip Design Dr. Mahdi Shabany Electrical Engineering Department Sharif University of Technology Assignment #2 – Winter 2011 Logic Optimization, 7-Segment Displays and Incremental Design, Latches, Flip‐flops, Registers Introduction The purpose of this laboratory is to gain experience in manual logic optimization. The exercise involves the design of a circuit that converts a code represented by input switches into characters on a display, like those on a digital watch. This lab will also illustrate the key engineering concept of incremental design, in which small portions of a circuit are first created and tested, and then used to build larger circuits. Moreover, the latches, flip‐ flops, and registers will be investigated in this assignment. Part I - Selecting the Character to be Displayed Consider the circuit shown in Fig. 1. It uses a three-bit wide 5-to-1 multiplexer (just like the one you built in Assignment #1) to enable the selection of five characters that are displayed on a 7-segment display. Using the 7-segment decoder from Part VI of Assignment #1, this circuit can display any of the five digits and ‘blank’. The character codes are set according to Table 1 of Assignment #1 by using the switches SW14−0, and a specific character is selected for display by setting the switches SW17−15.

Upload: doannguyet

Post on 07-Apr-2018

224 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

EE25266 – ASIC/FPGA Chip Design

Dr. Mahdi ShabanyElectrical Engineering Department

Sharif University of Technology

Assignment #2 – Winter 2011

Logic Optimization, 7-Segment Displays and Incremental Design, Latches, Flip‐flops, Registers

IntroductionThe purpose of this laboratory is to gain experience in manual logic optimization. The exercise involves the design of a circuit that converts a code represented by input switches into characters on a display, like those on a digital watch. This lab will also illustrate the key engineering concept of incremental design, in which small portions of a circuit are first created and tested, and then used to build larger circuits. Moreover, the latches, flip flops, and‐ registers will be investigated in this assignment.

Part I - Selecting the Character to be DisplayedConsider the circuit shown in Fig. 1. It uses a three-bit wide 5-to-1 multiplexer (just like the one you builtin Assignment #1) to enable the selection of five characters that are displayed on a 7-segment display. Using the 7-segment decoder from Part VI of Assignment #1, this circuit can display any of the five digits and ‘blank’. The character codes are set according to Table 1 of Assignment #1 by using the switches SW14−0, and a specific character is selected for display by setting the switches SW17−15.

Fig. 1. A circuit that can select and display one of five characters

Page 2: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

To build this circuit you can reuse two smaller designs already created: the three-bit 5-to-1 multiplexer from Part V of Assignment #1 and the 7-segment decoder from Part VI of Assignment 1. Your task is to create a new top-level design that implements the circuit in Fig. 1 using the multiplexer and decoder as subcircuits. You are to design this top-level circuit twice, using two different approaches:

1. Create the top-level design using the Quartus II schematic capture tool. In this case you use Quartus II to create a schematic symbol for the multiplexer and decoder circuits, and then include these symbols in the top-level schematic, as described in Tutorial 1 of Assignment #1 by doing the following steps:

a) The project for this part is provided in the starter kit. Open the project named hierarchy_schematic.qpf in the part1/hierarchy_schematic subdirectory to begin your work.

b) In the part1 folder you will find the Verilog files mux_3bit_5to1.v and char_7seg.v. Edit these files and put in the code you previously wrote to implement the three-bit 5-to-1 multiplexer and the 7-segment decoder, respectively.

c) The next step is to use Quartus II to create a symbol file for each of the above Verilog files. The symbol file allows the corresponding Verilog module to be used as a subcircuit in your top-level schematic. The procedure for generating a symbol file and including it in a schematic was shown in section B.5 of the Tutorial 1.

d) In Quartus II use the command File > New to create a new Block Diagram file and draw the circuit in Figure 1. Insert the symbols for the subcircuits created above, insert input and output ports, and draw the wiring connections shown in Figure 1.

e) In your schematic diagram you will need to create vector ports to attach to the switches and 7-segment displays. You do this in Quartus II by specifying the name of a signal as a vector of the form NAME[X..Y]. For example, in the schematic capture tool SW2−0 is designated using the input pin name SW[2..0] and HEX00−6 is denoted using an output port named HEX0[0..6].

f) Include the required pin assignments for the board switches and 7-segment display. Compile the project.

g) Simulate the compiled circuit using a timing simulation in the Quartus II Simulator.

2. Create the top-level design by writing complete Verilog code for the circuit in Fig. 1. An outline of this code, which shows how to include the multiplexer and decoder subcircuits in the top-level Verilog module, is given in Fig. 2. Complete this section by performing the following steps:

a) The project for this part is provided in the starter kit. Open the project named hierarchy_verilog in the part1/hierarchy_verilog subdirectory to begin your work.

b) Use the modified codes in the previous section, i.e., mux_3bit_5to1.v and char_7seg.v.

c) Use the File > New command to create a new Verilog file named hierarchy_verilog.v. Type your top-level Verilog code, following the style of code shown in Fig. 2, into this file.

d) Include the required pin assignments for the board switches and 7-segment display. Compile the project.

e) Simulate the compiled circuit using a timing simulation in the Quartus II Simulator.

module hierarchy_verilog (SW, HEX0);input [17:0] SW; // toggle switchesoutput [0:6] HEX0; // 7-seg displayswire [2:0] M; mux_3bit_5to1 M0 (SW[17:15], SW[14:12], SW[11:9], SW[8:6], SW[5:3], SW[2:0], M); char_7seg H0 (M, HEX0);

Page 3: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

endmodule

// implements a 3-bit wide 5-to-1 multiplexermodule mux_3bit_5to1 (S, U, V, W, X, Y, M);input [2:0] S, U, V, W, X, Y;output [2:0] M;

. . . code not shown

endmodule

// implements a 7-segment decoder for each charactermodule char_7seg (C, Display);input [2:0] C; // input codeoutput [0:6] Display; // output 7-seg code

. . . code not shown

endmodule

Fig. 2. Verilog code for the circuit in Fig. 2.

Note: Both simulations (of the schematic-based and Verilog-based projects) can be done using the same input waveforms and should produce identical outputs.

DE2: Download your circuit (either the schematic version or the Verilog version) onto the board. Test the functionality of the circuit by setting the proper character codes on the switches SW14−0 and then toggling SW17−15 to observe the display of characters.

Part II - Displaying and Rotating a SequenceIn this part you will reuse your previous designs, and extend the code from Part I so that it uses five 7-segment displays, rather than just one. You will need to use five instances (copies) of the subcircuits from Part I. The purpose of your circuit is to display a sequence on the five displays, and be able to manually rotate this sequence in a circular fashion across the displays when the switches SW17−15 are toggled. As an example, if the displayed sequence is 12345, then your circuit should produce the output patterns illustrated in Table 1. The sequence must be the last five digits of your student number.

Table 1. Manually rotating the sequence 12345 on five displays.

Page 4: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

Perform the following steps.

1. The project for this part is provided in the starter kit. Open the project named part2 in the part2 subdirectory to begin your work.

2. Copy the Verilog files mux_3bit_5to1.v and char_7seg.v from Part I into the project directory for Part II. Use the Quartus II command Project > Add/Remove Files in Project to add these files to the part2 project.

3. Create a new Verilog file called part2.v and write the code to instantiate the required subcircuits. Connect the switches SW17−15, in the same order, to the select inputs of each of the five instances of the three-bit wide 5-to-1 multiplexers. Also connect SW14−0 to each instance of the multiplexers as required to produce the patterns of characters shown in Table 1. Each multiplexer will share the same set of inputs (SW14−0), but the inputs will connect to each multiplexer in a different manner. It is up to you to arrange them properly such that you can manually “rotate” your numbers. Following this, you must connect the outputs of the five multipexers to the 7-segment displays HEX4, HEX3, HEX2, HEX1, and HEX0 on the board.

4. Include the required pin assignments for the board switches and 7-segment displays. Compile the project.

DE2: Download the compiled circuit into the FPGA chip. Test the functionality of the circuit by setting the proper digit codes on the switches SW14−0 and toggle SW17−15 to observe the rotation of the digits.

Part III

Extend your design from Part II so that is uses all eight 7-segment displays on the board. Your circuitshould be able to display the last five digits of your student number on the eight displays, and manually rotate the displayed sequence when the switches SW17−15 are toggled. If the displayed sequence is 12345, then your circuit should produce the patterns shown in Table 2.

Table 2. Rotating the sequence 12345 on eight displays.

Page 5: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

Perform the following steps:

1. The project for this part is provided in the starter kit. Open the project named part3 in the part3 subdirectory to begin your work.

2. Write the required Verilog code for this part of the exercise and include these Verilog modules in the Quartus II project. Connect the switches SW17−15 to the select inputs of each instance of the multiplexers in your circuit. Also connect SW14−0 to each instance of the multiplexers as required to produce the patterns of characters shown in Table 2. Connect the outputs of your multiplexers to the 7-segment displays HEX7, . . ., HEX0.

3. Include the required pin assignments for the board switches and 7-segment displays. Compile the project.

DE2: Download the compiled circuit into the FPGA chip. Test the functionality of the circuit by setting the proper character codes on the switches SW14−0 and then toggling SW17−15 to observe the rotation of the characters.

Part IV :All FPGAs include flip-flops that are available for implementing a user’s circuit. We will show how to makeuse of these flip-flops in the other assignment. But first we will show how storage elements can be created in an FPGA without using its dedicated flip-flops. Fig. 3 depicts a gated D latch circuit. Two styles of Verilog code that can be used to describe this circuit are given in Fig. 4. Part a of the figure specifies the latch by instantiating logic gates, and part b uses logic expressions to create the same circuit. If this latch is implemented in an FPGA that has 4-input lookup tables (LUTs), then only one lookup table is needed.

Fig. 3. A gated D latch circuit.

Page 6: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

// A gated D latchmodule part4(Clk, D, Q); input Clk, D; output Q; wire R_g, S_g, Qa, Qb /* synthesis keep */ ; nand (R_g, ~D, Clk); nand (S_g, D, Clk); nand (Qa, S_g, Qb); nand (Qb, R_g, Qa); assign Q = Qa;endmoduleFig. 4a. Instantiating logic gates for the D latch.

// A gated D latchmodule part4(Clk, D, Q); input Clk, D; output Q;

wire R_g, S_g, Qa, Qb /* synthesis keep */;

assign R_g = ~(~D & Clk); assign S_g = ~(D & Clk); assign Qa = ~(S_g & Qb); assign Qb = ~(R_g & Qa);

assign Q = Qa;endmoduleFig. 4b. Specifying the D latch by using logic expressions

Although the latch can be correctly realized in one 4-input LUT, this implementation does not allow its internal signals, such as R_g and S_g, to be observed, because they are not provided as outputs from the LUT. To preserve these internal signals in the implemented circuit, it is necessary to include a compiler directive in the code. In Fig. 4 the directive /*synthesis keep*/ is included to instruct the Quartus II compiler to use separate logic elements for each of the signals R_g, S_g,Qa, and Qb. Compiling the code produces the circuit with four 4-LUTs, one for each assign statement.

Perform the following steps.

1. The project for this part is provided in the starter kit. Open the project named part4 in the part4 subdirectory.

2. Generate a Verilog file with the code in either part a or b of Fig. 4 (both versions of the code should produce the same circuit) and include it in the project.

3. Select as the target chip and compile the code. You may wish to use the Quartus II RTL Viewer tool to examine the gate-level circuit produced from the code, and the Technology Map Viewer tool to verify that the latch is implemented with four LUTs. These tools are found under the menu Tools > Netlist Viewers.

Page 7: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

4. Remove the /*synthesis keep*/ construct from the code and compile it again and report the difference.

5. Create a VectorWaveform File (.vwf) which specifies the inputs and outputs of the circuit. You need to show it to the TA. Draw waveforms for the D input and use the Quartus II Simulator to produce the corresponding waveforms for R_g, S_g, Qa, and Qb. Verify that the latch works as expected using both functional and timing simulation.

6. Create a new Quartus II project which will be used for implementation of the gated D latch on the board. This project should consist of a top-level module that contains the appropriate input and output ports (pins) for the board. Instantiate your latch in this top-level module. Use switch SW0 to drive the D input of the latch, and use SW1 as the Clk input. Connect the Q output to LEDR0.

7. Recompile your project and simulate the compiled circuit.

DE2: Test the functionality of the circuit by downloading it onto the board. Toggle the D and Clk switches and observe the output Q.

Part V:Fig. 5 shows the circuit for a master-slave D flip-flop.

Fig. 5. Circuit for a master-slave D flip-flop.

Perform the following steps:

1. The project for this part is provided in the starter kit. Open the project named part5 in the part5 subdirectory to begin your work.

2. Generate a Verilog file that instantiates two copies of your gated D latch module from Part IV to implement the master-slave flip-flop.

Page 8: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

3. Include in your project the appropriate input and output ports for the Altera board. Use switch SW0 to drive the D input of the flip-flop, and use SW1 as the Clock input. Connect the Q output to LEDR0. Compile your project.

4. You may wish to use the Quartus Technology Map Viewer to examine the D flip-flop circuit, using the command Tools > Netlist Viewer > Technology Map Viewer.

5. Use simulation to verify the correct operation of your circuit.

DE2: Download the circuit onto the board and test its functionality by toggling the D and Clock switches and observing the output Q.

Part VI:Fig. 6 shows a circuit with three different storage elements: a gated D latch, a positive-edge triggered D flip-flop, and a negative-edge triggered D flip-flop.

Fig. 6. Circuit and waveforms for Part VI.

Page 9: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

Implement and simulate the circuit in Fig. 6 by using the Quartus II software as follows:1. The project for this part is provided in the starter kit. Open the project named part6 in the

part6 subdirectory to begin your work.

2. Write a Verilog file that instantiates the three storage elements. For this part you should no longer include the /* synthesis keep */ directive in your Verilog code, because you will not be describing the exact structure of flip-flops like you did in Part V. Instead, you should use a style of Verilog code that will allow the Verilog compiler (in the Quartus II software) to automatically instantiate flip-flops that are provided as part of the FPGA logic elements. Such Verilog code is often called behavioral code, because it specifies a desired circuit behavior rather than an exact circuit structure. As an example, Fig. 7 gives a behavioral style of Verilog code that specifies the gated D latch in Fig. 4. This latch can be implemented in one 4-input lookup table. Use a similar style of code to specify the flip-flops in Fig. 6.

3. Compile your project.

4. You may wish to use the Quartus Technology Map Viewer to examine the compiled circuit, by using the command Tools > Netlist Viewer > Technology Map Viewer.

5. Create a Vector Waveform File (.vwf) which specifies the inputs and outputs of the circuit. You need to show it to the TA. Draw the inputs D and Clock as indicated in Fig. 6. Use functional simulation to obtain the three output signals. Observe the different behavior of the three storage elements.

module D_latch (D, Clk, Q);input D, Clk;output reg Q;always @ (D, Clk)if (Clk) Q = D;endmoduleFig. 9. A behavioral style of Verilog code that specifies a gated D latch.

Part VII:In this part you will need to work in base 16, also known as hexadecimal, or hex for short. There are sixteen digits in hexadecimal, the usual 0-9 and then the letters A through F. It is fairly easy to translate from base 2 to base 16 because each hexadecimal digit corresponds to exactly 4 bits in binary. A sixteen bit number translates into exactly 4 hexadecimal digits. The goal for the circuit in this part is to display the hexadecimal value of a 16-bit number, A, on the four 7-segment displays, HEX7 − 4, and a different hexadecimal value of a 16-bit number B on the four 7-segment displays, HEX3 − 0. The values of A and B are to be input to the circuit through switches SW15−0, one value at a time. This is to be done by first setting the switches to the value of A and then setting the switches to the value of B; therefore, the value of A must be stored in the circuit. You will need a 16-bit register (which should be clocked by KEY1) to store the value of A once it has been set using SW15−0. Please note that the hex decoder is provided in the starter kit (i.e., hex_digits.v).

Page 10: ee.sharif.eduee.sharif.edu/.../Assignment_2/Assignment2_backup.docx · Web viewThe procedure for generating a symbol file and including it in a schematic was shown in section B.5

Perform the following steps:1. The project for this part is provided in the starter kit. Open the project named part7 in the part7subdirectory to begin your work.2. Write a Verilog file that provides the necessary functionality. Use KEY0 as an active-low asynchronous reset, and use KEY1 as a clock input.3. Include the Verilog file in your project and compile the circuit.4. Assign the pins on the FPGA to connect to the switches and 7-segment displays, as you have done in previous parts of this exercise.

DE2: Recompile the circuit and download it into the FPGA chip. Test the functionality of your design by toggling the switches and observing the output displays