vhdl introduction and overview - cas.mcmaster.ca

30
VHDL Introduction and Overview VHDL Introduction and Overview Jing Chen Department of Computing and Software, McMaster University November 18, 2010

Upload: others

Post on 06-Oct-2021

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

VHDL Introduction and Overview

Jing Chen

Department of Computing and Software, McMaster University

November 18, 2010

Page 2: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

What is VHDL?

Very-High-Speed Integrated Circuit Hardware DescriptionLanguage

VHDL appeared in 1983

VHDL was sponsored by US Department of Defense

VHDL borrowed heavily from Ada programming language

Formal definition of VHDL was given by IEEE Standard VHDLLanguage Reference Manual

Page 3: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

What is the original intent of inventing VHDL?

Serve as a means of communicating designs from one contractorto another in the very high speed integrated circuit program

CDL,ISP,and AHPL have been used since 1970s

Page 4: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

VHDL Design Tools & Products

Textual Editor

Schematic Editor

Simulators

Checkers and Analyzers

Optimizers and Synthesizers

FPGA

Page 5: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Textual Editor

An editor can be used to edit VHDL source code.

Page 6: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Schematic Editor

An editor which can be used to create and display aninterconnected set of graphic tokens.

Page 7: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Simulators(Soft Logic Analyzer)

A hardware debugger which models the response of asystem to input stimuli.

Page 8: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Checkers

Rule checkersAt the silicon level, design rule checkers are used toinsure that the layout implies a circuit that can befabricated reliably.At other levels, rule checkers are used to determine ifconnection rules or fanout rules have been violated.

Page 9: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Analyzers

AnalyzersTiming analyzers can be used to check for errors thatviolate the structure and meaning of the language and alsocan be used to check for the longest path through a logiccircuit or system.

Page 10: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Optimizers

OptimizersOptimizers change the form of the design representationto a new form,which is regraded as "improved" in somefashion.For example,at register level,optimizers are used todetermine the best combination of control sequence anddata paths.

Page 11: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Synthesizers(Silicon Compiler)

SynthesizersA high-level synthesizer translates a representation of acircuit at a high level of abstraction into a lower-levelrepresentation that can be implemented within a particulartechnology.

Page 12: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

What is FPGA?

Field Programmable Gate Array

FPGAs can be used to implement any logical functionspecified by a hardware description language

Page 13: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Language Constructs of VHDL

Language Constructs Similar to C ProgrammingLanguage

Language Constructs Specified for Modeling HardwareCircuits

Page 14: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Features of Digital Circuit

Concurrency

Delay

Timing

Page 15: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Concurrency

Page 16: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Delay

Page 17: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Timing

Page 18: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Concurrent Mechanism in VHDL

Page 19: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Delay Mechanism in VHDL

Page 20: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Timing Mechanism in VHDL

Page 21: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Signal

Signals are used to represent data values on actualphysical data lines in circuits.

Logic Value of Signal

Page 22: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

16-bit Multiplier Designed by VHDL

VHDL Source Code

entity Multiplier_VHDL isport ( Input1, Input2: in std_logic_vector(15 downto 0);Result: out std_logic_vector(31 downto 0) );end entity Multiplier_VHDL;architecture Behavioral of Multiplier_VHDL isbeginResult <= std_logic_vector(unsigned(Input1) * unsigned(Input2));end architecture Behavioral;

Page 23: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

16-bit Multiplier Designed by Schematic

Schematic Representation(Part I)

Page 24: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

16-bit Multiplier Designed by Schematic

Schematic Representation(Part II)

Page 25: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

16-bit Multiplier Designed by Schematic

Schematic Representation(Part III)

Page 26: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Advantages of VHDL on Hardware Design

Public Design Platform

Intellectual Property Core

Logical and Timing errors are basically eliminated beforebuilding real hardware

Line between hardware and software become unclear

Page 27: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Page 28: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Shortcoming

Long synthesize time

Synthesizer may make a mistake

Tool for computing longest path through a circuit is notperfect

Page 29: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

References

A VHDL PRIMER (Third Edition)Author:Jayaram.Bhasker

VHDL Design Representation and Synthesis (Second Edition)Author:James R.Armstrong,F.Gail Gray

Page 30: VHDL Introduction and Overview - cas.mcmaster.ca

VHDL Introduction and Overview

Thanks!