yms sp07 lastw

2
56 T HE L AST WORD throughput of 100WPH, a photoresist with 5mJ/cm 2 sensitivity will need >115W of power at the system’s intermediate focus. A 20mJ/cm 2 resist would require >200W of source power, potentially requiring a 4kW laser to produce the plasma. In con- trast, conventional 193nm lasers typically deliver 60W of power to the photoresist in 10mJ pulses. Not only will EUV resists need to be sensitive to relatively weak illumination, they must also deliver superior etch resistance and line edge roughness in order to achieve the desired dimensions. High sensitivity and low line edge roughness are an unusual, and expensive, combination. EUV masks, meanwhile, depend on a completely new substrate with no supporting infrastructure behind it. Before we dismiss EUV technology, though, let’s look at the alternatives, remembering that only EUV has shown it can print features beyond the 32nm node. High index immersion lithog- raphy, for example, uses both lens element and immersion fluid with high refractive indices, to achieve numerical apertures that water immersion cannot. These larger apertures increase the effective resolution of the lens. High index immersion sounds like a natural extension of water immersion, but it poses substantial problems. Lutetium aluminum garnet (LuAG), the most likely lens choice, is new to For years, EUV skeptics (myself included), have raised their eyebrows at the likely cost of the technology. More recently, however, it has become clear that there are no inexpensive choices at the 32nm and smaller nodes. In fact, EUV’s extend- ibility to still smaller dimensions may actually make it the most cost-effective approach to advanced lithography. Not that EUV is inexpensive, by any definition. No pricing is yet available for first-generation EUV steppers, but estimates so far land well north of US $50 million. Moreover, EUV steppers are likely to be astonishingly expensive to operate. For instance, instead of a laser light source, it uses plasma generated by heating a target with a laser beam. The net efficiency is the product of the laser efficiency, times the efficiency of plasma generation, times the fraction of emitted radiation that actually lies within the desired wavelength range. Conversion efficien- cies vary depending on the source design, but capturing 5% of the laser power as useful EUV radiation is considered good. A 100W source would likely require a 2kW laser. Nor is a 100W source necessarily adequate for production use. Source power is measured at the collector element, the point where EUV radiation enters the imaging optics. Yet EUV optical elements are relatively poor reflectors, absorbing a significant fraction of the light that reaches them. Actual illumi- nation at the wafer is likely to be significantly less. To achieve Sub-32nm Nodes Bring Megabucks Lithography Katherine Derbyshire www.thinfilmmfg.com Spring 2007 Yield Management Solutions

Upload: kla-tencor

Post on 03-Apr-2016

232 views

Category:

Documents


0 download

DESCRIPTION

 

TRANSCRIPT

Page 1: Yms sp07 lastw

56 Winter 2007 Yield Management Solutions

The LasT Word

throughput of 100WPH, a photoresist with 5mJ/cm2 sensitivity will need >115W of power at the system’s intermediate focus. A 20mJ/cm2 resist would require >200W of source power, potentially requiring a 4kW laser to produce the plasma. In con-trast, conventional 193nm lasers typically deliver 60W of power to the photoresist in 10mJ pulses.

Not only will EUV resists need to be sensitive to relatively weak illumination, they must also deliver superior etch resistance and line edge roughness in order to achieve the desired dimensions. High sensitivity and low line edge roughness are an unusual, and expensive, combination. EUV masks, meanwhile, depend on a completely new substrate with no supporting infrastructure behind it.

Before we dismiss EUV technology, though, let’s look at the alternatives, remembering that only EUV has shown it can print features beyond the 32nm node. High index immersion lithog-raphy, for example, uses both lens element and immersion fluid with high refractive indices, to achieve numerical apertures that water immersion cannot. These larger apertures increase the effective resolution of the lens.

High index immersion sounds like a natural extension of water immersion, but it poses substantial problems. Lutetium aluminum garnet (LuAG), the most likely lens choice, is new to

For years, EUV skeptics (myself included), have raised their eyebrows at the likely cost of the technology. More recently, however, it has become clear that there are no inexpensive choices at the 32nm and smaller nodes. In fact, EUV’s extend-ibility to still smaller dimensions may actually make it the most cost-effective approach to advanced lithography.

Not that EUV is inexpensive, by any definition. No pricing is yet available for first-generation EUV steppers, but estimates so far land well north of US $50 million. Moreover, EUV steppers are likely to be astonishingly expensive to operate. For instance, instead of a laser light source, it uses plasma generated by heating a target with a laser beam. The net efficiency is the product of the laser efficiency, times the efficiency of plasma generation, times the fraction of emitted radiation that actually lies within the desired wavelength range. Conversion efficien-cies vary depending on the source design, but capturing 5% of the laser power as useful EUV radiation is considered good. A 100W source would likely require a 2kW laser.

Nor is a 100W source necessarily adequate for production use. Source power is measured at the collector element, the point where EUV radiation enters the imaging optics. Yet EUV optical elements are relatively poor reflectors, absorbing a significant fraction of the light that reaches them. Actual illumi-nation at the wafer is likely to be significantly less. To achieve

Sub-32nm Nodes Bring Megabucks Lithography

Katherine Derbyshire www.thinfilmmfg.com

Spring 2007 Yield Management Solutions

Page 2: Yms sp07 lastw

How big are EUV systems likely to be? ASML’s Alpha Demo tool comes with its own gantry crane to open up the vacuum chamber. Image does not show the reticle handling system (not yet installed) or the source. Image courtesy of IMEC.

semiconductor manufacturing. It has been used in telescope applications, but is an intrinsically birefringent and crystalline material. Those who remember the industry’s struggles with 157nm lithography – which required calcium fluoride optics – are probably breathing a sigh of relief that high index immer-sion only requires LuAG for the front element. Still, development of the LuAG manufacturing and lens making infrastructure will likely require substantial investments.

Operating budgets, meanwhile, must absorb the cost of the high index immersion fluid. What this fluid might be is not yet known; companies like DuPont and JSR have offered evaluation samples of several proprietary chemistries. Any fluid, however, will have to meet stringent purity and resist compatibility re-quirements. Even assuming the fluid can be recycled after each wafer pass, IMEC’s Geert Vandenberghe expects the immersion fluid alone will add US $1 per wafer pass to lithography costs.

The third alternative, double patterning lithography (DPL), can at least use current generation exposure equipment. Superficially, it seems to be the least expensive choice. Yet, as the name implies, DPL requires two exposure passes for each mask level, an immediate and substantial throughput hit. Some process schemes also use an additional resist coat and development

step to etch both halves of the pattern into a hard mask before transferring it to the wafer. Finally, DPL requires two masks per device layer. Granted, these masks can use somewhat relaxed dimensions and can avoid aggressive OPC. On the other hand, attempts to extend DPL to smaller features are likely to erase the mask simplicity advantage. Overlay errors between the two masks becomes critical, and also contributes to CD error and CD variability (Please see “Enabling Double Patterning at the 32nm Node”, page 44).

Despite its limitations, DPL must be considered the preferred technology at this point. Among other things, it requires manu-facturers to proceed with process development without waiting for capabilities that only exist in alpha tools. Still, DPL will not protect manufacturers from the most serious challenge to lithography scaling: cost.

Katherine Derbyshire is writing an introduction to IC manufacturing, ten-tatively titled Semiconductor Manufacturing in Nontechnical Language. She has engineering degrees from the Massachusetts Institute of Tech-nology and the University of California, Santa Barbara. She founded Thin Film Manufacturing, a consulting firm helping the industry manage the interaction between business forces and technology advances, in 2001. You can reach Katherine at [email protected]

The LasT Word

57