azmayeshgah e cpu 4 biti

32
ﮐﺎﺷﺎن داﻧﺸﮕﺎه ﻣﻬﻨﺪﺳﯽ داﻧﺸﮑﺪه ﮐﺎﻣﭙﯿﻮﺗﺮ ﮔﺮوه ﮐﺎر دﺳﺘﻮر ﻣﻌﻤﺎری آزﻣﺎﯾﺸﮕﺎه ﮐﺎﻣﭙﯿﻮﺗﺮ ﮐﻨﻨﺪه ﺗﻬﯿﻪ: ﺻﺒﺎﻏﯿﺎن ﺣﺴﯿﻦ زﻣﺴﺘﺎن82

Upload: edalatpishe

Post on 24-Jan-2015

605 views

Category:

Education


3 download

DESCRIPTION

 

TRANSCRIPT

Page 1: Azmayeshgah e cpu 4 biti

دانشگاه کاشان دانشکده مهندسی

گروه کامپیوتر

دستور کار آزمایشگاه معماری

کامپیوتر

حسین صباغیان: تهیه کننده

82زمستان

Page 2: Azmayeshgah e cpu 4 biti

1

به نام خدا

در این طرح سعی شده است حداقل سخت . بیتی میباشد4 ی CPUدر این آزمایشگاه هدف پیاده سازی یک امکان پیاده سازی انواع . وجود داشته باشد CPUد اجزای مختلف یک افزار الزم جهت آشنایی با عملکر

در طراحی مدار از تراشه های . دستورالعمل را داشته و از طرفی از لحاظ سیم بندی دارای حجم متناسب باشد . استفاده شده است MSIبا سطح فشردگی متوسط

:بطور کلی اهداف اساسی عبارتند از

با سازمان دلخواه از قدم اول CPUسازی یک آشنایی با نحوه پیاده • پیاده سازی واحد کنترل بروش میکروپروگرام بصورت عملی در آزمایشگاه • Data Busآشنایی عملی با مفهوم گذرگاه مشترک • آشنایی با حافظه های قابل برنامه ریزی بعنوان حافظه کنترلی • TESTER و ERASER و PROGRAMMERآشنایی با دستگاههای • شنایی با روشهای عیب یابی مدارات دیجیتال با پیچیدگی متوسطآ • طراحی ریزدستورالعمل ها • طراحی دستورالعمل های دلخواه بر اساس قابلیتهای سیستم طراحی شده • MAXPLUS IIآشنایی بانرم افزارهای طراحی سخت افزار بطور خاص با •

تذکرات

توصیه . هر بردبورد ردیفهای تغذیه را سرتاسری نمایید با اتصال چهار عدد جامپر در : نحوه بستن تغذیه به این . استفاده نمایید Gnd ، Vcc ،Gnd ، Vccمیشود چهار ردیف را از باال به پایین به ترتیب برای

.ترتیب اتصال تغذیه برای اکثر تراشه ها با کوتاهترین سیم ممکن خواهد بود

برای این کار زائده ها و فرورفتگی . به یک برد بورد دیگر متصل شود هر برد بورد از هر طرف میتواند •در هر جلسه ، با توجه به حجم زیاد مدار این آزمایشگاه . هایی برای اتصال پیش بینی شده است

قبل از شروع به کار روی یک بردبورد جدید آن را با جهت صحیح . قسمتی از آن را خواهید بست .دبرای اتصال در دست بگیری

GND

VCC

GND

VCC

Page 3: Azmayeshgah e cpu 4 biti

2

5vدر هر جلسه قبل از اتصال منبع تغذیه به مدار ولتاژ منبع را با استفاده از ولتمتر دیجیتال روی •بطور دقیق تنظیم کنید منبع تغذیه را خاموش نموده و به مدار متصل کنید پس از اطمینان از

س از اتصال در صورت افت ولتاز منبع پ . منبع را روشن نمایید ، اتصال صحیح سرهای مثبت و منفی به مدار به عقربه آمپرمتر منبع توجه کنید در صورتی جریان زیادتر از حد معمول باشد منطقی است

بالفاصله منبع تغذیه را خاموش یا قطع در سیم بندی مدار وجود دارد که نتیجه بگیرید اشکالی اتصال کوتاه –ه اتصال کوتاه سرهای مثبت و منفی منبع تغذی . نمایید و عیب را برطرف نمایید

.از جمله این اشکاالت است.... وجود تراشه سوخته در مدار و –خروجی ها در مدار . به هیچ وجه در حالی که منبع تغذیه به مدار وصل است سطح ولتاژ را تنظیم ننمایید •ش منبع تغذیه خامو ، دقت کنید در موقع قرار دادن یا برداشتن تراشه ها یا هنگام سیم بندی مدار •

. باشد دقت کنید تراشه دقیقا سر جای خود قرار گیرد توجه کنید که ICدر موقع گذاشتن یا تعویض •

. بطور معکوس باعث سوختن آن خواهد شدICگذاشتن .با انتخاب سیمهای رنگی مناسب سرعت عمل در بررسی و عیب یابی را بیشتر نمایید •. ها عبور ندهید IC االمکان سیم ها را از روی تکه سیم ها را به اندازه مناسب جدا نموده و حتی •

ها جهت تست ولتاژ پایه ها و احیاناً ICسیم کشی بطور منظم انجام داده و فضای کافی اطراف . پیش بینی نماییدICتعویض

جدا نموده و از اتصال کامل آن به بردبورد ) میلیمتر 6 تا 5حدود(روکش سیمها را به اندازه کافی • .مطمئن شوید

. ها را به ترتیبی روی برد بورد قرار دهید فاصله سیم کش کوتاهتر شودICدر چیدن • نمیسوزد پس بستن ICمیتوان اطمینان داشت که % 80در صورت اتصال صحیح پایه های تغذیه •

. ها را چک کنیدICکامل مدار قبل از اتصال به منبع یک بار دیگر اتصال تغذیه ها را روی پایۀ آنها با ولتمتر چک کنید و سپس اقدام به ICیه جهت عیب یابی مدار ابتدا تغذ •

بررسی مسیر عبور اطالعات نمایید این کار میتواند با شروع از ورودی به سمت خروجی نهایی یا .مطمئن باشید در اکثر موارد اشکال از سیم بندی و اتصاالت است. بالعکس صورت گیرد

آن را به GND از ولتمتر یا اسکوپ استفاده میکنید سر برای سهولت در عیب یابی در صورتی که •زمین مدار بطور دائم وصل نمایید و از سر مثبت جهت بررسی ولتاژ نقاط مختلف مدار استفاده

.نماییدیا (برای مشاهده اطالعات گذرگاههای مشترک عمومی و محلی در مدار میتوانید از دسته های چهار •

ها از پیچ LEDهرگز درصورت کم نور بودن .یشگاه استفاده نمایید موجود در آزما LEDتایی ) پنج .تنظیم ولتاژ منبع تغذیه برای افزایش نور استفاده نکنید

. ها بچسبانیدICجهت سهولت بررسی مدار نام هر یک از قطعات را روی •

Page 4: Azmayeshgah e cpu 4 biti

3

طرح کلی مدار

ان شامل چهار ثبات عمومی این سازم . میباشد) 1( ی چهار بیتی با سازمان شکل CPUهدف ساخت یک و یک پورت OUTP یک پورت خروجی AR ، PC ، IR سه ثبات خاص A ، B ، C ، Dچهاربیتی

مشخصات هریک از این قطعات را . چهار بیتی میباشد RAM و SHIFTER و ALU و یک INPورودی .مالحظه فرمایید) 1(در جدول

) 3(شکل .پیروی میکند ) 2(ده و از ساختار کلی شکل بروش میکروپراگرام طراحی ش CPUواحد کنترل این البته اتصاالت . است CPUنقشه شماتیک کامل مدار را نشان میدهد که هم شامل واحد کنترل و هم سازمان

سیگنالهای کنترل و مدارات مربوط به انشعاب شرطی و بیتهای وضعیت بعهده دانشجویان گذاشته که بر .اساس دستورکار تکمیل نمایند

Part

Refrence تراشه بکار نام مختصر

رفته نام کامل

U14 A 74173 REG AU15 B 74173 REG BU16 C 74173 REG CU17 D 74173 REG DU18 AR 74173 Address RegisterU19 PC 74173 Program CounterU20 OUTR 74173 Output RegisterU21 IR 74173 Instruction RegisterU25 INB 74244 Input BufferU24 SHFT 74178 Shift RegisterU25 BUF 74244 BufferU22 ALU 74181 Arithmetic & Logic UnitU8 CAR 74374 Control Address RegisterU2 MUX11 74253 Multiplexer 1U3 MUX12 74253 Multiplexer 2U4 MUX13 74253 Multiplexer 3U5 MUX14 74253 Multiplexer 4U1 SBR 74374 Subroutine RegisterU7 ADR1 7483 Adder 1U6 ADR2 7483 Adder 2U26 BUS SEL 74138 Bus sellectorU13 LD SEL 74138 Load SelectorU11 CM1 2864 Control Memory 1U10 CM2 2864 Control Memory 2U9 CM3 2864 Control Memory 3U12 NOT 7404 Inverter

مشخصات تراشه های مدار) 1(جدول

Page 5: Azmayeshgah e cpu 4 biti

4

واحد کنترل بروش میکروپروگرام) 2(شکل

3 2 1 0

A

B MUX1

CAR

CONTROL MEMORY

MICRO OP CD BR ADF

SBR

INCREMENTER

LOGIC

MUX2

CONDITION SELECT

INSTRUCTION MAP

T

M

8

8

8

8

8

8

3 2

8

A B DC

AR

PC IR

OUTR

INBRAM 16×4Bit Shift

3-State BUF

ALU

BUS

ABC

خروجی

ورودی

بیتی4 ی CPUسازمان ) 1(شکل

MAP

Page 6: Azmayeshgah e cpu 4 biti

5

FR

OM

MA

P

CO

NT

RO

L M

EM

OR

Y 3

INB

INP

UT

RE

G A

RE

G C

RE

G B

CA

R

BU

S

OU

TR

TO

MA

P

CO

NT

RO

L M

EM

OR

Y 1

INC

RE

ME

NT

ER

SB

R

BU

S

AR

IR

RA

M

SE

L

PC

CO

NT

RO

L M

EM

OR

Y 2

RE

G DB

UF

AL

U

OU

TP

UT

MU

LT

IPL

EX

ER

VCC

VCC

VCC

VC

C

VCC

U24

74178

SE

R3

A2

B1

C13

D12

CLK

5

SH

IFT

11

LOA

D9

QA

4

QB

6

QC

8

QD

10

U10

2864

A0 10A1 9A2 8A3 7A4 6A5 5A6 4A7 3A8 25A9 24A10 21A11 23A12 2CE 20OE 22WE 27

D011 D112 D213 D315 D416 D517 D618 D719

RDY/B1

U9

2864

A0 10A1 9A2 8A3 7A4 6A5 5A6 4A7 3A8 25A9 24A10 21A11 23A12 2CE 20OE 22WE 27

D011 D112 D213 D315 D416 D517 D618 D719

RDY/B1U

1574LS

173

D1 14D2 13D3 12D4 11CLK 7OE2 1OE1 2IE1 9IE2 10CLR 15

Q13 Q24 Q35 Q46

U20

74LS173

D1 14D2 13D3 12D4 11CLK 7OE2 1OE1 2IE1 9IE2 10CLR 15

Q13 Q24 Q35 Q46

U7

7483

A110 A28 A33 A41B111 B27 B34 B416C013

S1 9S2 6S3 2S4 15

C4 14

U1

74LS374

D0

3

D1

4

D2

7

D3

8

D4

13

D5

14

D6

17

D7

18

OC

1

CLK

11

Q0

2

Q1

5

Q2

6

Q3

9

Q4

12

Q5

15

Q6

16

Q7

19

U17

74LS173

D1 14D2 13D3 12D4 11CLK 7OE2 1OE1 2IE1 9IE2 10CLR 15

Q13 Q24 Q35 Q46

U13

74LS138

A1

B2

C3

G1

6

G2A

4

G2B

5

Y0

15

Y1

14

Y2

13

Y3

12

Y4

11

Y5

10

Y6

9

Y7

7

U8

74LS374

D0

3

D1

4

D2

7

D3

8

D4

13

D5

14

D6

17

D7

18

OC

1

CLK

11

Q0

2

Q1

5

Q2

6

Q3

9

Q4

12

Q5

15

Q6

16

Q7

19

U11

2864

A0 10A1 9A2 8A3 7A4 6A5 5A6 4A7 3A8 25A9 24A10 21A11 23A12 2CE 20OE 22WE 27

D011 D112 D213 D315 D416 D517 D618 D719

RDY/B1

U18

74LS173

D1 14D2 13D3 12D4 11CLK 7OE2 1OE1 2IE1 9IE2 10CLR 15

Q13 Q24 Q35 Q46

U2

74LS253

1C0 61C1 51C2 41C3 32C0 102C1 112C2 122C3 13

A 14B 21G 12G 15

1Y7

2Y9

R1

R

U3

74LS253

1C0 61C1 51C2 41C3 32C0 102C1 112C2 122C3 13

A 14B 21G 12G 15

1Y7

2Y9

U22

74181-1

A0A1A2A3

B0B1B2B3

CN

S0

S1

S2

S3

M

F0F1F2F3

A=B

CN+4G

P

U25

74LS244

1A1

2

1A2

4

1A3

6

1A4

8

2A1

11

2A2

13

2A3

15

2A4

17

1G1

2G19

1Y1

18

1Y2

16

1Y3

14

1Y4

12

2Y1

9

2Y2

7

2Y3

5

2Y4

3

U14

74LS173

D1 14D2 13D3 12D4 11CLK 7OE2 1OE1 2IE1 9IE2 10CLR 15

Q13 Q24 Q35 Q46

U16

74LS173

D1 14D2 13D3 12D4 11CLK 7OE2 1OE1 2IE1 9IE2 10CLR 15

Q13 Q24 Q35 Q46

U12B

7404

34

U4

74LS253

1C0 61C1 51C2 41C3 32C0 102C1 112C2 122C3 13

A 14B 21G 12G 15

1Y7

2Y9U

2374C

189

D0 4D1 6D2 10D3 12A0 1A1 15A2 14A3 13CS 2R/W' 3

Q05 Q17 Q29 Q311

U19

74LS173

D1 14D2 13D3 12D4 11CLK 7OE2 1OE1 2IE1 9IE2 10CLR 15

Q13 Q24 Q35 Q46

U6

7483

A110 A28 A33 A41B111 B27 B34 B416C013

S1 9S2 6S3 2S4 15

C4 14

U12A

7404

12

U5

74LS253

1C0 61C1 51C2 41C3 32C0 102C1 112C2 122C3 13

A 14B 21G 12G 15

1Y7

2Y9

U21

74LS173

D1 14D2 13D3 12D4 11CLK 7OE2 1OE1 2IE1 9IE2 10CLR 15

Q13 Q24 Q35 Q46

U26

74LS138

A1

B2

C3

G1

6

G2A

4

G2B

5

Y0

15

Y1

14

Y2

13

Y3

12

Y4

11

Y5

10

Y6

9

Y7

7

مدارکلنقشه شماتیک ) 3(شکل

Page 7: Azmayeshgah e cpu 4 biti

6

)1(آزمایش شیفتر ، ALU و A ، B ، C ، Dچهار ثبات عمومی چهاربیتی که شامل CPUپیاده سازی بخشی از : هدف

)4(مطابق شکل . میباشدData Busو

ALU

REG A REG B REG C REG D

BUF

SHIFTER

U13

74LS138

A1

B2

C3

G16

G2A4

G2B5

Y0 15

Y1 14

Y2 13

Y3 12

Y4 11

Y5 10

Y6 9

Y7 7

U12A

7404

1 2U12B

7404

3 4

U1574LS173

D1

14D

213

D3

12D

411

CLK

7O

E21

OE1

2IE1

9IE2

10C

LR15

Q1

3Q

24

Q3

5Q

46

U1774LS173

D1

14D

213

D3

12D

411

CLK

7O

E21

OE1

2IE1

9IE2

10C

LR15

Q1

3Q

24

Q3

5Q

46

U1474LS173

D1

14D

213

D3

12D

411

CLK

7O

E21

OE1

2IE1

9IE2

10C

LR15

Q1

3Q

24

Q3

5Q

46

U1674LS173

D1

14D

213

D3

12D

411

CLK

7O

E21

OE1

2IE1

9IE2

10C

LR15

Q1

3Q

24

Q3

5Q

46

U25

74LS244

1A12

1A24

1A36

1A48

2A111

2A213

2A315

2A417

1G1

2G19

1Y1 18

1Y2 16

1Y3 14

1Y4 12

2Y1 9

2Y2 7

2Y3 5

2Y4 3

U24

74178

SER3

A2

B1

C13

D12

CLK5

SHIFT11

LOAD9

QA 4

QB 6

QC 8

QD 10

U2274181

A0A1A2A3 B0B1B2B3CN

S0S1S2S3M

F0F1F2F3

A=B

CN

+4G P

فعالیت های قبل از آزمایش IC عملکرد کلی Data Bookرا شناسایی و با مراجعه به ) 4(ای بکار رفته در مدار شکل هر یک از تراشه ه -1

.و پایه های تغذیه و ورودی ها و خروجی ها را بررسی نمایید .به سواالت زیر پاسخ دهید. را بررسی و تحلیل نمایید) 4(مدار شکل -2 . را نام ببرید شرکت کنندALUکلیه زوج ثبات هایی که میتوانند در یک عملیات -3 . روی محتوای دو ثبات مورد نظر را مرحله به مرحله مشخص نمایید) مثال جمع(انجام یک عمل مشخص -4 چه لزومی دارد؟74178 در خروجی شیفت رجیستر 74244استفاده از بافر -5 نمود؟ ترتیب فعال کردن Load و شیفت را در یک ثبات دلخواه ALUچگونه میتوان حاصل عملیات -6

الها چگونه باشد؟ سیگنشرح مختصری از موارد فوق را بعنوان پیش گزارش آماده نموده و در ابتدای جلسه آزمایشگاه تحویل -7

.دهید

1مدار آزمایش ) 4(شکل

Page 8: Azmayeshgah e cpu 4 biti

7

انجام آزمایش .را ببندید) 4( مدار شکل -1 .استفاده کنید) 5( بصورت دستی از مدار شکل CLOCK PULSE جهت وارد نمودن -2

VCC

7404

5 6

7404

98

clk

دار جهت اطمینان از درستی م-3

. لود کنیدD و A ، B ، C با استفاد ه از سیگنالهای کنترلی مقادیر متفاوتی در ثباتهای) الف .با انتخاب خروجی مناسب از صحت مقادیر موجود در ثباتها مطمئن شوید) ب . را مشاهده نمایید... وAND نتیجه عملیات جمع و تفریق و ALUبا دادن ورودی مناسب به ) ج نموده و عملیات شیفت را روی آن Load را در شیفت رجیستر ALU مقادیر خروجی عملیات یکی از ) د

.آزمایش کنید . قرار دهیدD یا A ، B ، Cمقدار حاصل را در یکی از ثباتهای ) ه

تهیه گزارش کار فعالیتهای هرگروه دو یا سه نفره بایستی گزارشی شامل موارد خواسته شده در پیش گزارش و نتایج حاصل از

آزمایشگاهی را به همراه شکل و نکات تجربی که هنگام کار با آن برخورد میکند و به تناسب هر آزمایش مطالب تکمیلی دیگر را حد اکثر دو هفته پس از انجام آزمایش تحویل دهد

نمونه ای از مدار کالک دستی) 5(شکل

Page 9: Azmayeshgah e cpu 4 biti

8

)2(آزمایش ی بعنوان بخشی از واحد و تولید سیگنالهای کنترل NEXT ADDRESS GENERATORپیاده سازی : هدف : که شاملCPUکنترل

CONTROL MEMORY (2864×3) CONTROL ADDRESS REGISTER (CAR) 74374 INCREMENTER (7483×2)

ایجاد میشود و در آزمایش های بعدی با SEQUENTIALدر این قسمت فقط امکان اجرای ریزدستورالعملهای . میباشد ..را به واحد کنترول اضافه خواهیم نمود RETURNو CALLو JUMPیز دستورالعملهای افزودن مالتی پلکسر امکان اجرای ر

CONTROL MEMORY 3 CONTROL MEMORY 2 CONTROL MEMORY 1

INCREMENTER

CAR

VCCVCCVCC

U8

74LS374

D03

D14

D27

D38

D413

D514

D617

D718

OC1

CLK11

Q0 2

Q1 5

Q2 6

Q3 9

Q4 12

Q5 15

Q6 16

Q7 19

U67483

A1

10A

28

A3

3A

41

B1

11B

27

B3

4B

416

C0

13

S1

9

S2

6

S3

2

S4

15

C4

14

U112864

A0

10

A1

9

A2

8

A3

7

A4

6

A5

5

A6

4

A7

3

A8

25

A9

24

A10

21

A11

23

A12

2

CE

20

OE

22

WE

27

D0

11D

112

D2

13D

315

D4

16D

517

D6

18D

719

RD

Y/B

1

U102864

A0

10

A1

9

A2

8

A3

7

A4

6

A5

5

A6

4

A7

3

A8

25

A9

24

A10

21

A11

23

A12

2

CE

20

OE

22

WE

27

D0

11D

112

D2

13D

315

D4

16D

517

D6

18D

719

RD

Y/B

1

U92864

A0

10

A1

9

A2

8

A3

7

A4

6

A5

5

A6

4

A7

3

A8

25

A9

24

A10

21

A11

23

A12

2

CE

20

OE

22

WE

27

D0

11D

112

D2

13D

315

D4

16D

517

D6

18D

719

RD

Y/B

1

U7

7483

A1

10A

28

A3

3A

41

B1

11B

27

B3

4B

416

C0

13

S1

9

S2

6

S3

2

S4

15

C4

14

فعالیت های قبل از آزمایش

IC عملکرد کلی Data Bookرا شناسایی و با مراجعه به ) 6(هر یک از تراشه های بکار رفته در مدار شکل -1 .مایید و پایه های تغذیه و ورودی ها و خروجی ها را بررسی ن

.به سواالت زیر پاسخ دهید. را بررسی و تحلیل نمایید) 6(مدار شکل -2 . را بنویسید7483شمارۀ پایه های تغذیه -3 . را مشخص کنید7483 در تراشه های C4 و C0نحوه اتصال -4 در OC و CLK کردن مدار جهت شروع برنامه از آدرس صفر ارائه دهید و نحوه اتصال RESETروشی برای -5

. را مشخص کنید74374 های تراشه چیست؟DATA BUS به CONTROL MEMORY 1کاربرد اتصال نیبل پایین ) 3(باتوجه به شکل -6

2مدار آزمایش) 6(شکل

Page 10: Azmayeshgah e cpu 4 biti

9

.در جدول زیر ریزبرنامه ای بنویسید که عملیات زیر را انجام دهد -7 . قرار دهدD تا Aچهار مقدار متفاوت را در چهار ثبات

. منتقل کندA را باهم جمع کرده و حاصل به ثباتCو Bمحتوای را بعنوان پیش گزارش آماده نموده و در ابتدای جلسه آزمایشگاه تحویل 7 تا 1 موارد خواسته شده بندهای -8

.دهید

انجام آزمایش .را ببندید) 6(مدار شکل -1 .مدار را بر اساس پاسخ صحیح سؤاالت مربوط به پیش گزارش کامل کنید -2 .استفاده کنید) 5( بصورت دستی از مدار شکل CLOCK PULSE جهت وارد نمودن -3 . نماییدبرنامه ریزی کرده و آن را اجرا 2864ریز برنامه خواسته شده را در تراشه های -4 . در نقاط مختلف مدار استفاده نمایید LED تایی 4جهت مشاهده مراحل انجام کار دسته های -5

تهیه گزارش کار هرگروه دو یا سه نفره بایستی گزارشی شامل موارد خواسته شده در پیش گزارش و نتایج حاصل از فعالیتهای

ار با آن برخورد میکند و به تناسب هر آزمایش آزمایشگاهی را به همراه شکل و نکات تجربی که هنگام ک مطالب تکمیلی دیگر را حد اکثر دو هفته پس از انجام آزمایش تحویل دهد

Page 11: Azmayeshgah e cpu 4 biti

10

EEPROM3 EEPROM2 EEPROM1 Binary Code Hex Binary Code Hex Binary Code Hex

LBL ASSEMBLY

AD

DR

ES

Page 12: Azmayeshgah e cpu 4 biti

11

)3(آزمایش .را ببینید) 7(شکل . و تکمیل باس سیستمCPU و ثباتهای ویژه به سازمان RAMافزایش : هدف

.یر میباشد ثباتهای ویژه شامل موارد زADDRESS REGISTER (AR) 74173 PROGRAM COUNTER (PC) 74173 OUTPUT REGISTER (OUTR) 74173 INSTRUCTION REGISTER (IR) 74173

که یک دیکودر است استفاده شده خروجی 74138 متصل شده از یک تراشه BUSجهت کنترول خروجی تراشه هایی که به IR خروجی . یرود به مدار نگاشت دستورالعمل مOUTR عدد 4 به LED یک 74189. جهت نمایش اطالعات وصل شده

استفاده شده و بطور مستقیم به خطوط RAM جهت آدرس دهی ARثبات . کلمه چهار بیتی است 16 شامل RAMتراشه . دارای ورودی و خروجی دادۀ مجزاست که هر دو به باس وصل است74189. آدرس آن وصل شده است

OUTRPCAR IR

RAMOUTPUT

BUS SEL

TO MAP

U1874LS173

D1

14D

213

D3

12D

411

CLK

7O

E21

OE1

2IE1

9IE2

10C

LR15

Q1

3Q

24

Q3

5Q

46

U1974LS173

D1

14D

213

D3

12D

411

CLK

7O

E21

OE1

2IE1

9IE2

10C

LR15

Q1

3Q

24

Q3

5Q

46

U2374C189

D0

4D

16

D2

10D

312

A01

A115

A214

A313

CS

2R

/W'

3

Q0

5Q

17

Q2

9Q

311

U2074LS173

D1

14D

213

D3

12D

411

CLK

7O

E21

OE1

2IE1

9IE2

10C

LR15

Q1

3Q

24

Q3

5Q

46

U2174LS173

D1

14D

213

D3

12D

411

CLK

7O

E21

OE1

2IE1

9IE2

10C

LR15

Q1

3Q

24

Q3

5Q

46

1K

U26

74LS138

A 1

B 2

C 3

G1 6

G2A 4

G2B 5

Y015

Y114

Y213

Y312

Y411

Y510

Y69

Y77

فعالیت های قبل از آزمایش . و پایه های تغذیه و ورودی های کنترلی را شرح دهید 74189 عملکرد تراشه Data Bookبا مراجعه به -1 .به سواالت زیر پاسخ دهید. را بررسی و تحلیل نمایید) 7(مدار شکل -2وه کنرل ورودی ها و نح . اتصال خروجی های آن را تکمیل کنید . چیست 74138دلیل استفاده از تراشه -3

.را شرح دهید . را مشخص کنید74173اتصال سرهای کنترل ورودی و خروجی در تراشه های -4

3مدار آزمایش) 7(شکل

Page 13: Azmayeshgah e cpu 4 biti

12

INSTRUCTION( بعنوان حافظه دستوالعمل بکار رود مراحل اجرای عمل برداشت دستورالعمل RAMاگر -5

FETCH (ببترتیب زیرعملهای مربوطه را بنویسید. را بنویسید. .اتصاالت پورت ورودی را تکمیل کنید) 7(کل و ش)3(با توجه شکل -6 .در جدول زیر ریزبرنامه ای بنویسید که عملیات زیر را انجام دهد -7

. قرار دهدD تا Aچهار مقدار متفاوت را از طریق پورت ورودی در چهار ثبات . منتقل کندA را هم تفریق کرده و حاصل را به ثبات Cو Bمحتوای

. به پورت خروجی منتقل کندD تا Aرا بترتیب از سپس محتوای کلیه ثباتها را بعنوان پیش گزارش آماده نموده و در ابتدای جلسه آزمایشگاه تحویل 7 تا 1 موارد خواسته شده بندهای -8

.دهید

انجام آزمایش .را ببندید) 7(مدار شکل -1 .مدار را بر اساس پاسخ صحیح سؤاالت مربوط به پیش گزارش کامل کنید -2 .مدار این آزمایش را به آزمایشات قبل وصل کنید -3 .برنامه ریزی کرده و آن را اجرا نمایید 2864ریز برنامه خواسته شده را در تراشه های -4 . در نقاط مختلف مدار استفاده نمایید LED تایی 4ته های جهت مشاهده مراحل انجام کار دس -5

تهیه گزارش کار هرگروه دو یا سه نفره بایستی گزارشی شامل موارد خواسته شده در پیش گزارش و نتایج حاصل از فعالیتهای

مایش آزمایشگاهی را به همراه شکل و نکات تجربی که هنگام کار با آن برخورد میکند و به تناسب هر آز مطالب تکمیلی دیگر را حد اکثر دو هفته پس از انجام آزمایش تحویل دهد

Page 14: Azmayeshgah e cpu 4 biti

13

EEPROM3 EEPROM2 EEPROM1 Binary Code Hex Binary Code Hex Binary Code Hex

LBL ASSEMBLY

AD

DR

ES

Page 15: Azmayeshgah e cpu 4 biti

14

)4(ایش مزآ . RETURNو CALLو JUMPتکمیل واحد کنترل با افزایش امکان اجرای ریزدستورالعملهای : هدف

.واحد کنترل شامل دو قسمت میباشد . که حاوی ریزدستورالعملهاست)CONTROL MEMORY( حافظۀ کنترولی -1مدار ، CAR ،SBR ، MUX1که شامل ) NEXT ADDRESS GENERATOR( تولید کنندۀ آدرس بعدی -2

LOGIC و مدار انتخاب شرط میباشد. آمده است در این آزمایش و آزمایشهای بعدی آن را تکمیل خواهیم ) 8(بلوک دیاگرام واحد کنترل در شکل

انتخاب MAX1 قرار گیرد را CARآدرس بعدی که باید در . درس حافظۀ کنترلی است ثبات آ CAR. کرد .می کند که یکی از موارد زیر میتواند باشد

3 2 1 0

A

B MUX1

CAR

CONTROL MEMORY

MICRO OP CD BR ADF

SBR

INCREMENTER

LOGIC

MAX2

CONDITION SELECT

INSTRUCTION MAP

T

M

8

8

8

8

8

8

3 2

8

بلوک دیاگرام واحد کنترل) 8(شکل

Page 16: Azmayeshgah e cpu 4 biti

15

.که باعث اجرای ریزدستورالعمل بعدی میشود) INCREMENTER(خروجی افزاینده ) الف .میشود CALLیا JUMPتور جاری مشخص شده که باعث ریز دس ADDRESS FIELDآدرسی که در ) ب SBRدر CALLاین مقدار با اجرای ریزدستور . که آدرس بازگشت از برنامه فرعی میباشد SBRمحتوای ) ج

.انتخاب میشود RETذخیره شده وبا اجرای ریزدستور MACROیزدستورات یک این مقدار آدرس شروع ر. آدرسی که از مدار نگاشت دستورالعمل بدست می آید) د

INSTRUCTION میباشد . را کنترل Bو Aورودی های LOGICمدار . انجام میشود MAX1از Bو Aانتخاب توسط ورودی های

عمل CDو BRاین مدار براساس دو فیلد . نیز میباشد SBRکنترل کننده ورودی LOGICمدار . میکند میکند

بعنوان 74374و یک تراشه )مالتی پلکسر (74253تراشه عدد 4با افزودن . را ببینید ) 9(شکل SUBROUTINE REGISTER (SBR)بوجود می آید9مدار شکل ) 6شکل (2 به مدار آزمایش .

VCCVCCVCC

VCC

CONTROL MEMORY 1CONTROL MEMORY 2CONTROL MEMORY 3

CAR

INCREMENTER

MULTIPLEXER

SBR

FROMMAP

U102864

A010

A19

A28

A37

A46

A55

A64

A73

A825

A924

A1021

A1123

A122

CE

20O

E22

WE

27

D0

11D

112

D2

13D

315

D4

16D

517

D6

18D

719

RD

Y/B1

U574LS253

1C0

61C

15

1C2

41C

33

2C0

102C

111

2C2

122C

313

A14

B2

1G1

2G15

1Y7

2Y9

U92864

A010

A19

A28

A37

A46

A55

A64

A73

A825

A924

A1021

A1123

A122

CE

20O

E22

WE

27

D0

11D

112

D2

13D

315

D4

16D

517

D6

18D

719

RD

Y/B1

U274LS253

1C0

61C

15

1C2

41C

33

2C0

102C

111

2C2

122C

313

A14

B2

1G1

2G15

1Y7

2Y9

U8

74LS374

D03

D14

D27

D38

D413

D514

D617

D718

OC1

CLK11

Q0 2

Q1 5

Q2 6

Q3 9

Q4 12

Q5 15

Q6 16

Q7 19

U67483

A110

A28

A33

A41

B111

B27

B34

B416

C0

13

S19

S26

S32

S415

C4

14

U374LS253

1C0

61C

15

1C2

41C

33

2C0

102C

111

2C2

122C

313

A14

B2

1G1

2G15

1Y7

2Y9

U77483

A110

A28

A33

A41

B111

B27

B34

B416

C0

13

S19

S26

S32

S415

C4

14

U1

74LS374

D0 3

D1 4

D2 7

D3 8

D4 13

D5 14

D6 17

D7 18

OC 1

CLK 11

Q02

Q15

Q26

Q39

Q412

Q515

Q616

Q719

U474LS253

1C0

61C

15

1C2

41C

33

2C0

102C

111

2C2

122C

313

A14

B2

1G1

2G15

1Y7

2Y9

U112864

A010

A19

A28

A37

A46

A55

A64

A73

A825

A924

A1021

A1123

A122

CE

20O

E22

WE

27

D0

11D

112

D2

13D

315

D4

16D

517

D6

18D

719

RD

Y/B1

4مدار آزمایش) 9(شکل

Page 17: Azmayeshgah e cpu 4 biti

16

فعالیت های قبل از آزمایش 74253 و مطالعه دقیق تراشه Data Bookمراجعه به -1 . پاسخ دهیدبه سواالت زیر. را بررسی و تحلیل نمایید) 9(مدار شکل -2 .را تکمیل کنید) 9(اتصاالت مدار شکل -3 : ساده ای طراحی کنید که بشکل زیر عمل کندLOGICمدار -4

T=1 JUMP TO BR1 BR0 = 00 T=0 NEXT ADDRESS BR1 BR0 = OTHER NEXT ADDRESS

. استفاده نماییدT بجای A ثبات 3از بیت

شته باشیم؟ چرا؟در هر زمان چند زیر برنامه میتوانید دا) 9(و ) 8(با توجه به مدار شکل -5 .در جدول صفحه بعد ریزبرنامه ای بنویسید که عملیات زیر را انجام دهد -6

. قرار دهدDیک مقدار دلخواه را از طریق پورت ورودی ثبات ) بعنوان شمارنده استفاده کنیدAاز ( ضرب کند 6 را در Dبا تکرار عمل جمع مقدار

.حاصل را به پورت خروجی منتقل کند را بعنوان پیش گزارش آماده نموده و در ابتدای جلسه آزمایشگاه 6 تا 1ته شده بندهای موارد خواس -7

.تحویل دهید

انجام آزمایش .را ببندید) 9(با افزایش تراشه های الزم به آزمایشات قبلی مدار شکل -1 .مدار را بر اساس پاسخ صحیح سؤاالت مربوط به پیش گزارش کامل کنید -2 .برنامه ریزی کرده و آن را اجرا نمایید 2864ده را در تراشه های ریز برنامه خواسته ش -3 . کنید و از صحت اجرای آن مطمئن شوید TRACEبرنامه را -4

تهیه گزارش کار هرگروه دو یا سه نفره بایستی گزارشی شامل موارد خواسته شده در پیش گزارش و نتایج حاصل از فعالیتهای

نکات تجربی که هنگام کار با آن برخورد میکند و به تناسب هر آزمایش آزمایشگاهی را به همراه شکل و مطالب تکمیلی دیگر را حد اکثر دو هفته پس از انجام آزمایش تحویل دهد

Page 18: Azmayeshgah e cpu 4 biti

17

EEPROM3 EEPROM2 EEPROM1 Binary Code Hex Binary Code Hex Binary Code Hex

LBL ASSEMBLY

AD

DR

ES

Page 19: Azmayeshgah e cpu 4 biti

18

)5(آزمایش .MAPو RETURNو CALLو JUMPیزعملهای ر بر اساس LOGICتکمیل مدار : هدف

با اجرای این ریز عمل . انجام میشود JUMP باشد 00 برابر BR1 BR0در صورتی که : JUMPریزعمل ) الف قرار CAR انتخاب شده و با کالک بعدی در CARبعنوان ورودی برای ) ADF(فیلد آدرس حافظه کنترلی

. انجام میشود Tپرش به شرط . ز برنامه نویسی خواهیم داشت به این ترتیب یک پرش در سطح ری . میگرددر آزمایش بعد انواع شرط را به مدار اضافه . انتخاب میشود (CONDITION) به معنی CD بیت 3شرط با

.خواهیم کرداین ریز عمل نیز مشابه . انجام میشود CALL باشد 01برابرBR1 BR0 در صورتی که : CALLریزعمل ) ب

JUMP ث باعLOAD شدن ADF در CAR میگردد مضاف بر اینکه همزمان خروجی INCREMENTER را شرط . انجام میشود T به شرط CALL. در واقع یک استک یک کلمه ای است SBR. لود میکند SBRنیز در

T بیت 3 با CDانتخاب میشود . این ریز عمل باعث . شود انجام می RET باشد 10 برابر BR1 BR0در صورتی که : RETURNریزعمل ) ج

LOAD شدن SBR در CAR میگردد SBR آدرس برگشت از ریزبرنامه فرعی را دارد که در هنگام آخرین CALLذخیره شده است .

این ریز عمل باعث . . انجام میشود MAP باشد 10برابر BR1 BR0 در صورتی که : MAPریزعمل ) دLOAD شدن خروجی مدار نگاشت دستورالعمل در CAR میگردد .MAP در پایان سیکل FETCH انجام MICRO(به یک مجموعه ریزدستوالعمل ) INSTRUCTION(با این عمل یک دستورالعمل . میشود

INSTRUCTION ( در واقع برنامه کاربر شامل دستورالعمل ها است به ازای هر دستورالعمل . تفسیر میگردد

یک نگاشت از کد دستورالعمل به آن ریز MAPارد و با عمل یک ریز برنامه فرعی در حافظه کنترلی وجود د .برنامه فرعی انجام میشود

برای ایجاد امکان انتخاب شرط از . استفاده شد T بعنوان شرط A از ثبات 3در آزمایش قبل بیت شماره دی را ورو 8 یک مالتی پلکسر است که با سه خط انتخاب یکی از 74151. استفاده میکنیم 74151تراشه

از حافظه CDخطوط انتخاب بوسیلۀ فیلد . انتخاب میکند . کنترلی که شامل سه بیت است مستقیما کنترل میشود

و شرط ) پرش مطلق (برای ایجاد حالت شرط قطعا درست دو ورودی این تراشه را مستقیما ) عدم پرش (قطعا نادرست

، توسط کاربر کنترل میشود IN کرده ایم ورودی 1 و 0Q3A از ثبات 3مان بیت شماره ه A است ، Q0D بیت ALU خروجی تساوی A=B، است D از ثبات 0شماره

است در مورد دو وردی دیگر در آزمایش بعد توضیح داده .میشود

0

1

2

3

4

5

6

7

MAX

8×1 74151

CD2 CD1 CD0

‘1’

Q0D

Q3A

~FF2

A=B

FF1

IN

‘0’

T

Page 20: Azmayeshgah e cpu 4 biti

19

فعالیت های قبل از آزمایش 74151 و مطالعه دقیق تراشه Data Bookمراجعه به -1 : که بشکل زیر عمل کندLOGICطراحی مدار -2

T=1 JUMP TO ADF BR1 BR0 = 00 T=0 NEXT ADDRESS T=1 CALL ADF BR1 BR0 = 01 T=0 NEXT ADDRESS BR1 BR0 = 10 RET BR1 BR0 = 01 MAP

M و B و Aپیشنهاد میشود ابتدا جدول زیر را تکمیل کنید سپس ساده ترین مدار را برای خروجی های

.بدست آورید تا حتی االمکان تعداد تراشه های کمتری به مدار اضافه شود

BR1 BR0 T B A M

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

.در جدول زیر ریزبرنامه ای بنویسید که عملیات زیر را انجام دهد -3

را یکی یکی به پورت خروجی بفرستد و با D تا Aزیر برنامه فرعی بنویسید که محتوای چهار ثبات ) الفاین کار . ط کاربر صفر و سپس یک گردد توس INنمایش محتوای هر ثبات صبر کند تا یک بار ورودی

به این دلیل انجام میشود که بر نامه اطالعات با کالک اتوماتیک هم قابل مشاهده باشد . قرار دهدD تا Aچهار مقدار دلخواه در ثباتهای ) ب .بافراخوانی برنامه فرعی نمایش اطالعات محتوای ثباتها را نمایش دهید) ج

را بعنوان پیش گزارش آماده نموده و در ابتدای جلسه آزمایشگاه 3 تا 1 موارد خواسته شده بندهای -4 .تحویل دهید

Page 21: Azmayeshgah e cpu 4 biti

20

انجام آزمایش .را ببندید) 74151تراشه ( طراحی شده و مدار انتخاب شرط LOGIC مدار -1 .برنامه ریزی کرده و آن را اجرا نمایید 2864ریز برنامه خواسته شده را در تراشه های -2 . کرده سپس با فانکشن ژنراتور آزمایش کنید TRACEبرنامه را ابتدا با کالک دستی -3

تهیه گزارش کار و نتایج حاصل از فعالیتهای هرگروه دو یا سه نفره بایستی گزارشی شامل موارد خواسته شده در پیش گزارش

آزمایشگاهی را به همراه شکل و نکات تجربی که هنگام کار با آن برخورد میکند و به تناسب هر آزمایش مطالب تکمیلی دیگر را حد اکثر دو هفته پس از انجام آزمایش تحویل دهد

Page 22: Azmayeshgah e cpu 4 biti

21

EEPROM3 EEPROM2 EEPROM1 Binary Code Hex Binary Code Hex Binary Code Hex

LBL ASSEMBLY

AD

DR

ES

Page 23: Azmayeshgah e cpu 4 biti

22

)6(آزمایش .به مدار IO Flag(IOF)و Carry Flag (CF)افزایش پرچم های : هدف که با کالک ClkEnرا نشان میدهد یک سیگنال IOFو CFمدار پیشنهادی برای پرچم های ) 11(شکل

.شده باید به کالک فلیپ فالپها وصل شود که در شکل رسم نشده است ANDسیستم IOF جهت ارتباط با دستگاه ورودی یا دستگاه خروجی بعنوان سیگنالHand shaking بکار از طرف سیستم

SCو ClkEn میتواند متقابال از طرف دستگاه جانبی استفاده شود با کنترل سیگنالهای INورودی . میرودیا بیتی که با عمل شیفت در عمل جمع Carryجهت نگهداری بیت CF.میتوان مقدار دلخواه در آن لود نمود

.لود میکنیم CF را قبل از ورود مقدار به شیفتر در بیت دورریز. دور ریخته میشود بکار میرود

فعالیت های قبل از آزمایش 7474 و4053 و مطالعه دقیق تراشه Data Bookمراجعه به -1 .در جدول صفحه بعد ریزبرنامه ای بنویسید که عملیات زیر را انجام دهد -2

. قرار دهدD تا Aچهار مقدار دلخواه در ثباتهای ) الف . بیتی شیفت به راست دهد16با چهار ثبات را بصورت ) ب .بافراخوانی برنامه فرعی نمایش اطالعات محتوای ثباتها را نمایش دهد) ج

را بعنوان پیش گزارش آماده نموده و در ابتدای جلسه آزمایشگاه 2 و 1موارد خواسته شده بندهای -5 .تحویل دهید

انجام آزمایش . ببندید 7474 و 4053اشه های را با تر11شکل مدار -1 .برنامه ریزی کرده و آن را اجرا نمایید 2864ریز برنامه خواسته شده را در تراشه های -3 . کرده سپس با فانکشن ژنراتور آزمایش کنید TRACEبرنامه را ابتدا با کالک دستی -4

تهیه گزارش کار .مشابه آزمایشات قبل گزارش کار تهیه شود

SC

ALU

Shifter

MUXA

D Q CF

MUXC

D Q IOF

MUXB

1 0

System SI Shifter SI

SA SB

Input Output Device

مدار پرچم ها ) 11(شکل

Page 24: Azmayeshgah e cpu 4 biti

23

EEPROM3 EEPROM2 EEPROM1 Binary Code Hex Binary Code Hex Binary Code Hex

LBL ASSEMBLY

AD

DR

ES

Page 25: Azmayeshgah e cpu 4 biti

24

)7(آزمایش Hand Shaking به روش IOارتباط با : هدف

فعالیت های قبل از آزمایش :موارد زیر را بعنوان پیش گزارش آماده نمایید

Hand Shaking به روش IOمراجعه به کتاب معماری کامپیوتر و بررسی ارتباط با ) الف بچیند سپس RAMافت کرده در حافظه بیتی را از پورت ورودی دری 4 عدد 16ریزبرنامه ای بنویسید که )ب

را فعال میکند و برنامه باید IN، دستگاه ورودی با گذاشتن هر داده . بترتیب آنها را به پورت خروجی بفرستد برای خروجی نیز مشاه این عمل انجام میشود. را یک کند IOF داده را بخواند و INبشرط

انجام آزمایش

.حافظه های کنترلی برنامه ریزی کنیدریز برنامه خواسته شده را در -1 ..برنامه ریزی شده سرجای خود قرار داده ها برنامه اجرا کنید 2864تراشه های -2

تهیه گزارش کار

هرگروه دو یا سه نفره بایستی گزارشی شامل موارد خواسته شده در پیش گزارش و نتایج حاصل از فعالیتهای جربی که هنگام کار با آن برخورد میکند و به تناسب هر آزمایش آزمایشگاهی را به همراه شکل و نکات ت

.مطالب تکمیلی دیگر را حد اکثر دو هفته پس از انجام آزمایش تحویل دهد

Page 26: Azmayeshgah e cpu 4 biti

25

EEPROM3 EEPROM2 EEPROM1

Binary Code Hex Binary Code Hex Binary Code HexLBL ASSEMBLY

AD

DR

ES

Page 27: Azmayeshgah e cpu 4 biti

26

)8(مایش آز تقسیم و جمع و تفریق با طول مضاعف، پیاده سازی آلگوریتم های ضرب: هدف

فعالیت های قبل از آزمایش :موارد زیر را بعنوان پیش گزارش آماده نمایید

ریزبرنامه ای Shift Addبا مراجعه به کتاب معماری کامپیوتر و بررسی آلگوریتم ضرب به روش ) الف . بیتی ذخیره کند4 بیت در دو ثبات 8بیتی را در هم ضرب کرده و حاصل را بصورت 4بنویسید که دو عدد

. بیت میباشد4 بیت و مقسوم علیه 8مقسوم . ریزبرنامه تقسیم را بروش تفریق متوالی پیاده سازی کنید)ب . بیتی انجام دهد8ریزبرنامه ای بنویسید که جمع ) ج .ی انجام دهد بیت8ریزبرنامه ای بنویسید که تفریق ) د

انجام آزمایش

از حافظه های کنترلی 300H و 200H و 100H و 000Hریز برنامه خواسته شده را بترتیب در آدرسهای -3 .برنامه ریزی کنید

ها EEPROMبرنامه ریزی شده سرجای خود قرار داده و با تغییر خطوط آدرس باالتر 2864تراشه های -4 .د نمایی TRACEبرنامه ها را یکی یکی

تهیه گزارش کار

هرگروه دو یا سه نفره بایستی گزارشی شامل موارد خواسته شده در پیش گزارش و نتایج حاصل از فعالیتهای آزمایشگاهی را به همراه شکل و نکات تجربی که هنگام کار با آن برخورد میکند و به تناسب هر آزمایش

.م آزمایش تحویل دهدمطالب تکمیلی دیگر را حد اکثر دو هفته پس از انجا

Page 28: Azmayeshgah e cpu 4 biti

27

EEPROM3 EEPROM2 EEPROM1 Binary Code Hex Binary Code Hex Binary Code Hex

LBL ASSEMBLY

AD

DR

ES

Page 29: Azmayeshgah e cpu 4 biti

28

: پروژه ها

مجموعه دستوالعمل های پیشنهادی خود را برای سیستم طراحی شده ارائه داده و ریزبرنامه های الزم -1. مجموعه دستورالعمل ها باید کامل باشد . برداشت و سیکل اجرای هر دستور را بنویسید برای سیکل

هریک از . (و اننقال کنترل را پوشش دهد I/Oیعنی دستورات محاسباتی و منطقی و جابجایی داده ها و RAMیسید آن را در بنوبرنامه ریز یک) تعریف شده فرض شود میتواند یک دستورالعمل 1موارد پروژه

.بیابید RAMراه حلی برای قرار دادن برنامه در . قرار داده و تست نمایید

طراحی HARDWAREواحد کنترل را بروش HDLو یک زبان MAX PLUS IIبا استفاده از نرم افزار -2 مناسب FPGAطرح خود را در یک تراشه . ابتدا مجموعه دستورالعملها را مشخص نمایید . کنید

DOWNLOAD کرده و با اتصال به مدار تست نمایید.

Hand Shaking ارتباط با کامپیوتر شخصی از طریق پورت پارالل بروش -3

Page 30: Azmayeshgah e cpu 4 biti

29

EEPROM3 EEPROM2 EEPROM1 Binary Code Hex Binary Code Hex Binary Code Hex

LBL ASSEMBLY

AD

DR

ES

Page 31: Azmayeshgah e cpu 4 biti

30

EEPROM3 EEPROM2 EEPROM1 Binary Code Hex Binary Code Hex Binary Code Hex

LBL ASSEMBLY

AD

DR

ES

Page 32: Azmayeshgah e cpu 4 biti

31

EEPROM3 EEPROM2 EEPROM1 Binary Code Hex Binary Code Hex Binary Code Hex

LBL ASSEMBLY

AD

DR

ES